Using Plasma Patents (Class 216/67)
  • Patent number: 8696919
    Abstract: A method for manufacturing a nozzle and an associated funnel in a single plate comprises providing the single plate, the plate being etchable; providing an etch resistant mask on the plate, the mask having a pattern, wherein the pattern comprises a first pattern part for etching the nozzle and a second pattern part for etching the funnel; covering one of the first pattern part and the second pattern part using a first cover; etching one of the nozzle and funnel corresponding to the pattern part not covered in step (c); removing the first cover; etching the other one of the nozzle and funnel; and removing the etch resistant mask.
    Type: Grant
    Filed: November 16, 2012
    Date of Patent: April 15, 2014
    Assignee: Oce-Technologies B.V.
    Inventors: René J. Van Der Meer, Hubertus M. J. M. Boesten, Maarten J. Bakker, David D. L. Wijngaards
  • Publication number: 20140099491
    Abstract: The invention includes a plasma etch-resistant film for a substrate comprising a yttria material wherein at least a portion of the yttria material is in a crystal phase having a crystal lattice structure, wherein at least 50% of the yttria material is in a form of a monoclinic crystal system. The film may be treated by exposure to a fluorine gas plasma. Also included are plasma etch-resistant articles that include a substrate and a film, wherein the film comprises an yttria material and at least a portion of the yttria material is present in the film in a crystal phase having a crystal lattice structure and at least 50% of the yttria material is in a form of a monoclinic crystal system. Several methods are contemplated within the scope of the invention.
    Type: Application
    Filed: October 9, 2012
    Publication date: April 10, 2014
    Inventors: Mohammed Ameen, Sang-Ho Lee, Thomas Mercer, Vasil Vorsa
  • Publication number: 20140097152
    Abstract: A self-assembled pattern forming method in an embodiment includes: forming a guide pattern on a substrate; forming a layer of a first polymer; filling a first block copolymer; and phase-separating the first block copolymer. The guide pattern includes a first recessed part having a depth T and a diameter D smaller than the depth T, and a second recessed part having a width larger than double of the diameter D. The first block copolymer has the first polymer and a second polymer which are substantially the same in volume fraction. By phase-separating the first block copolymer, a cylinder structure and a lamellar structure are obtained.
    Type: Application
    Filed: June 28, 2013
    Publication date: April 10, 2014
    Inventors: Hiroyuki HIEDA, Yoshiyuki KAMATA, Naoko KIHARA, Akira KIKITSU, Ryosuke YAMAMOTO
  • Patent number: 8691701
    Abstract: A method for forming etched features in a low-k dielectric layer disposed below the photoresist mask in a plasma processing chamber is provided. Features are etched into the low-k dielectric layer through the photoresist mask. The photoresist mask is stripped, wherein the stripping comprising at least one cycle, wherein each cycle comprises a fluorocarbon stripping phase, comprising flowing a fluorocarbon stripping gas into the plasma processing chamber, forming a plasma from the fluorocarbon stripping gas, and stopping the flow of the fluorocarbon stripping gas into the plasma processing chamber and a reduced fluorocarbon stripping phase, comprising flowing a reduced fluorocarbon stripping gas that has a lower fluorocarbon flow rate than the fluorocarbon stripping gas into the plasma processing chamber, forming the plasma from the reduced fluorocarbon stripping gas, and stopping the flow of the reduced fluorocarbon stripping gas.
    Type: Grant
    Filed: May 8, 2009
    Date of Patent: April 8, 2014
    Assignee: Lam Research Corporation
    Inventors: Bing Ji, Andrew D. Bailey, III, Maryam Moravej, Stephen M. Sirard
  • Patent number: 8691104
    Abstract: A method of controlling wetting characteristics is described. Such method includes forming and configuring nanostructures on a surface where controlling of the wetting characteristics is desired. Surfaces and methods of fabricating such surfaces are also described.
    Type: Grant
    Filed: January 13, 2012
    Date of Patent: April 8, 2014
    Assignee: California Institute of Technology
    Inventors: Harold F. Greer, Julia R. Greer
  • Patent number: 8691100
    Abstract: A method comprising providing a first substrate and forming a first sacrificial layer over the first substrate, the first sacrificial layer comprising a curved surface portion, and forming a curved micromirror by depositing a reflective material over at the curved surface portion.
    Type: Grant
    Filed: June 9, 2006
    Date of Patent: April 8, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co. Ltd.
    Inventors: Dah-Chuen Ho, Eugene Chu, Yuh-Haw Chang, Fei-Yun Chen, Michael Wu, Eric Chao
  • Patent number: 8691698
    Abstract: A method for etching features in a silicon layer disposed below a mask in a plasma processing chamber a plurality of cycles is provided. A deposition phase forming a deposition on the silicon layer in the plasma processing chamber is provided comprising providing a deposition gas into the plasma processing chamber wherein the deposition gas comprises a halogen containing etchant component and a fluorocarbon deposition component, forming the deposition gas into a plasma, which provides a net deposition on the silicon layer, and stopping the flow of the deposition gas. A silicon etch phase is provided, comprising providing a silicon etch gas into the plasma processing chamber that is different than the deposition gas, forming the silicon etch gas into a plasma to etch the silicon layer, and stopping the flow of the silicon etch gas.
    Type: Grant
    Filed: February 8, 2012
    Date of Patent: April 8, 2014
    Assignee: Lam Research Corporation
    Inventors: Qing Xu, William Thie, Camelia Rusu
  • Publication number: 20140093953
    Abstract: A non-adherent cell support for use as a substrate in fluidic chambers used for cell culturing and assays. The non-adherent cell support allows for the formation of sphere cultures from single cells, which can better mimic primary tumor-like behavior in the study of cancer stem cells. The non-adherent cell support can allow for adhesive culturing and may include a hydrophobic substrate having a lower body and a raised support structure extending upwardly from an upper surface of the body. The support structure comprises one or more vertically extending support members that extend from a proximal portion at the upper surface of the body to a distal end spaced from the upper surface of the body. The support structure may be formed from a biocompatible material such as poly-2-hydroxyethyl methacrylate, polydimethylsiloxane, polymethyl methacrylate, polystyrene, or a polyethylene glycol diacrylate-based hydrogel.
    Type: Application
    Filed: October 1, 2013
    Publication date: April 3, 2014
    Applicant: The Regents of the University of Michigan
    Inventors: Patrick Neal Ingram, Euisik Yoon
  • Patent number: 8685267
    Abstract: There is provided a substrate processing method capable of preventing the decrease in etching efficiency by positive ions and increasing the overall etching efficiency by using negative ions. The substrate processing method includes applying a plasma RF and a bias RF in the pattern of a pulse wave, respectively. The substrate processing method repeatedly performs the steps of: (3b) etching a substrate by positive ions in plasma by applying both the plasma RF and the bias RF; (3c) generating negative ions in a processing chamber by stopping the application of both the plasma RF and the bias RF; and (3a) attracting the negative ions to the substrate by applying the bias RF and stopping the application of the plasma RF. A duty ratio of the bias RF is set to be greater than a duty ratio of the plasma RF.
    Type: Grant
    Filed: June 22, 2011
    Date of Patent: April 1, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Koichi Yatsuda, Hiromasa Mochiki
  • Patent number: 8685266
    Abstract: Monocyclic chlorine based inductively coupled plasma deep etching processes for the rapid micromachining of titanium substrates and titanium devices so produced are disclosed. The method parameters are adjustable to simultaneously vary etch rate, mask selectivity, and surface roughness and can be applied to titanium substrates having a wide variety of thicknesses to produce high aspect ratio features, smooth sidewalls, and smooth surfaces. The titanium microdevices so produced exhibit beneficially high fracture toughness, biocompatibility and are robust and able to withstand harsh environments making them useful in a wide variety of applications including microelectronics, micromechanical devices, MEMS, and biological devices that may be used in vivo.
    Type: Grant
    Filed: October 2, 2006
    Date of Patent: April 1, 2014
    Assignee: The Regents of the University of California
    Inventors: Emily R. Parker, Brian J. Thibeault, Marco F. Aimi, Masa P. Rao, Noel C. MacDonald
  • Patent number: 8685855
    Abstract: A tray for film formation by a CVD method includes a tray main body (2) and a supporting member (3) mounted on the tray main body (2) for supporting a silicon wafer (5). The supporting member (3) has a holding portion (3c), on which the silicon wafer (5) is directly placed. The holding portion (3c) has its lower surface (3d) apart from a surface (2a) of the tray main body that is opposed to and apart from the supported silicon wafer (5), whereby the thickness distribution of an oxide film formed on the silicon wafer can be made uniform. The tray has a structure for reducing a contact area between the supporting member (3) and the tray main body (2), with the holding portion (3c) having a tilted surface with its inner circumferential side closer to the tray main body surface (2a) that is opposed to the silicon wafer.
    Type: Grant
    Filed: November 29, 2010
    Date of Patent: April 1, 2014
    Assignee: Sumco Corporation
    Inventors: Takashi Nakayama, Tomoyuki Kabasawa, Takayuki Kihara
  • Patent number: 8685268
    Abstract: Disclosed herein is a textured substrate comprising a base comprising silicon, the base having a plurality of needle like structures depending away from the base, wherein at least one of the needle like structures has a depth of greater than or equal to about 50 micrometers determined perpendicular to the base, and wherein at least one of the needle like structures has a width of less than or equal to about 50 micrometers determined parallel to the base. An anode and a lithium ion battery comprising the textured substrate, and a method of producing the textured substrate are also disclosed.
    Type: Grant
    Filed: May 16, 2013
    Date of Patent: April 1, 2014
    Assignee: The United States of America as Represented by the Administrator of the National Aeronautics and Space Administration
    Inventors: Karl Y. Yee, Andrew P. Homyk
  • Publication number: 20140083979
    Abstract: A deposit removal method for removing deposits deposited on the surface of a pattern formed on a substrate by etching, includes an oxygen plasma treatment process for exposing the substrate to oxygen plasma while heating the substrate and a cycle treatment process for, after the oxygen plasma treatment process, repeating multiple cycles of a first period and a second period. In the first period, the substrate is exposed to a mixture of hydrogen fluoride gas and alcohol gas inside a processing chamber and the partial pressure of the alcohol gas is set to the first partial pressure. In the second period, the partial pressure of the alcohol gas is set to the second partial pressure lower than the first partial pressure by exhausting the inside of the processing chamber.
    Type: Application
    Filed: May 10, 2012
    Publication date: March 27, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shigeru Tahara, Eiichi Nishimura, Hiroshi Tomita, Tokuhisa Ohiwa, Hisashi Okuchi, Mitsuhiro Omura
  • Publication number: 20140083978
    Abstract: Components and systems for controlling a process or chamber component temperature as a plasma process is executed by plasma processing apparatus. A first heat transfer fluid channel is disposed in a component subjacent to a working surface disposed within a plasma processing chamber such that a first length of the first channel subjacent to a first temperature zone of the working surface comprises a different heat transfer coefficient, h, or heat transfer area, A, than a second length of the first channel subjacent to a second temperature zone of the working surface. In embodiments, different heat transfer coefficients or heat transfer areas are provided as a function of temperature zone to make more independent the temperature control of the first and second temperature zones.
    Type: Application
    Filed: November 22, 2013
    Publication date: March 27, 2014
    Inventors: Chetan Mahadeswaraswamy, Kallol Bera, Larry D. Elizaga
  • Publication number: 20140083977
    Abstract: In one embodiment, a plasma processing apparatus includes: a chamber; an introducing part; a counter electrode; a high-frequency power source; and a plurality of low-frequency power sources. A substrate electrode is disposed in the chamber, a substrate is directly or indirectly placed on the substrate electrode, and the substrate electrode has a plurality of electrode element groups. The introducing part introduces process gas into the chamber. The high-frequency power source outputs a high-frequency voltage for ionizing the process gas to generate plasma. The plurality of low-frequency power sources apply a plurality of low-frequency voltages of 20 MHz or less with mutually different phases for introducing ions from the plasma, to each of the plurality of electrode element groups.
    Type: Application
    Filed: September 25, 2013
    Publication date: March 27, 2014
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Akio UI, Hisataka Hayashi, Kazuhiro Tomioka, Hiroshi Yamamoto, Tsubasa Imamura
  • Patent number: 8679359
    Abstract: The present invention is directed to a method and apparatus for etching various metals that may be used in semiconductor or integrated circuit processing through the use of non-halogen gases such as hydrogen, helium, or combinations of hydrogen and helium with other gases such as argon. In one exemplary embodiment of the present invention, in a reaction chamber, a substrate having a metal interconnect layer deposited thereon is exposed to a plasma formed of non-halogen gas. The plasma generated is maintained for a certain period of time to provide for a desired or expected etching of the metal. In some embodiments, the metal interconnect layer may be copper, gold or silver.
    Type: Grant
    Filed: May 10, 2011
    Date of Patent: March 25, 2014
    Assignee: Georgia Tech Research Corporation
    Inventors: Fangyu Wu, Dennis W. Hess, Galit Levitin
  • Patent number: 8679357
    Abstract: Droplets of resist material are coated using the ink jet method under conditions that: the viscosity of the resist material is within a range from 8 cP to 20 cP, the surface energy of the resist material is within a range from 25 mN/m to 35 mN/m, the amount of resist material in each of the droplets is within a range from 1 pl to 10 pl, and the placement intervals among the droplets are within a range from 10 ?m to 1000 ?m. A mold is pressed against the surface of the substrate in a He and/or a depressurized atmosphere such that: an intersection angle formed between a main scanning direction of the ink jet method and the direction of the lines of the linear pattern of protrusions and recesses, which is an intersection angle when pressing the mold against the surface of the substrate, is within a range from 30° to 90°.
    Type: Grant
    Filed: March 21, 2013
    Date of Patent: March 25, 2014
    Assignee: Fujifilm Corporation
    Inventors: Satoshi Wakamatsu, Tadashi Omatsu
  • Patent number: 8679517
    Abstract: An implantable biocompatible material includes one or more vacuum deposited layers of biocompatible materials deposited upon a biocompatible base material. At least a top most vacuum deposited layer includes a homogeneous molecular pattern of distribution along the surface thereof and comprises a patterned array of geometric physiologically functional features.
    Type: Grant
    Filed: May 13, 2011
    Date of Patent: March 25, 2014
    Assignee: Palmaz Scientific, Inc.
    Inventor: Julio C. Palmaz
  • Patent number: 8679982
    Abstract: A method of suppressing the etch rate for exposed silicon-and-oxygen-containing material on patterned heterogeneous structures is described and includes a two stage remote plasma etch. Examples of materials whose selectivity is increased using this technique include silicon nitride and silicon. The first stage of the remote plasma etch reacts plasma effluents with the patterned heterogeneous structures to form protective solid by-product on the silicon-and-oxygen-containing material. The plasma effluents of the first stage are formed from a remote plasma of a combination of precursors, including a nitrogen-containing precursor and a hydrogen-containing precursor. The second stage of the remote plasma etch also reacts plasma effluents with the patterned heterogeneous structures to selectively remove material which lacks the protective solid by-product. The plasma effluents of the second stage are formed from a remote plasma of a fluorine-containing precursor.
    Type: Grant
    Filed: April 18, 2012
    Date of Patent: March 25, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Yunyu Wang, Anchuan Wang, Jingchun Zhang, Nitin K. Ingle, Young S. Lee
  • Patent number: 8679983
    Abstract: A method of suppressing the etch rate for exposed silicon-and-nitrogen-containing material on patterned heterogeneous structures is described and includes a two stage remote plasma etch. The etch selectivity of silicon relative to silicon nitride and other silicon-and-nitrogen-containing material is increased using the method. The first stage of the remote plasma etch reacts plasma effluents with the patterned heterogeneous structures to form protective solid by-product on the silicon-and-nitrogen-containing material. The plasma effluents of the first stage are formed from a remote plasma of a combination of precursors, including nitrogen trifluoride and hydrogen (H2). The second stage of the remote plasma etch also reacts plasma effluents with the patterned heterogeneous structures to selectively remove material which lacks the protective solid by-product. The plasma effluents of the second stage are formed from a remote plasma of a fluorine-containing precursor.
    Type: Grant
    Filed: April 18, 2012
    Date of Patent: March 25, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Yunyu Wang, Anchuan Wang, Jingchun Zhang, Nitin K. Ingle, Young S. Lee
  • Patent number: 8679288
    Abstract: Showerhead electrode assemblies are disclosed, which include a showerhead electrode adapted to be mounted in an interior of a vacuum chamber; an optional backing plate attached to the showerhead electrode; a thermal control plate attached to the backing plate or to the showerhead electrode at multiple contact regions across the backing plate; and at least one interface member separating the backing plate and the thermal control plate, or the thermal control plate and showerhead electrode, at the contact regions, the interface member having a thermally and electrically conductive gasket portion and a particle mitigating seal portion. Methods of processing semiconductor substrates using the showerhead electrode assemblies are also disclosed.
    Type: Grant
    Filed: June 9, 2008
    Date of Patent: March 25, 2014
    Assignee: Lam Research Corporation
    Inventors: Tom Stevenson, Rajinder Dhindsa
  • Patent number: 8679358
    Abstract: A plasma etching method includes a preparation process for performing a plasma etching process using a processing gas including a first processing gas containing carbon (C) and fluorine (F), a ratio (C/F) of the first processing gas having a first value, and obtaining a residual amount of the mask layer corresponding to a variation point where a variation amount of the bowing CD is increased; a first plasma etching process using the processing gas including the first processing gas until a residual amount of the mask layer reaches the variation point; and a second plasma etching process performed after the first plasma etching process. The second plasma etching process is performed by using a processing gas including at least a second processing gas containing carbon (C) and fluorine (F), and a ratio (C/F) of the second processing gas is smaller than the first value.
    Type: Grant
    Filed: March 2, 2012
    Date of Patent: March 25, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Akira Nakagawa
  • Publication number: 20140077684
    Abstract: The present disclosure provides a method for manufacturing a particle source comprising: placing a metal wire in vacuum, introducing active gas, adjusting a temperature of the metal wire and applying a positive high voltage V to the metal wire to generate at a side of the head of the metal wire an etching zone in which field induced chemical etching (FICE) is performed; increasing by the FICE a surface electric field at the top of the metal wire head to be greater than a field evaporation electric field of material for the metal wire, so that metal atoms at the top of the metal wire are evaporated off; after the field evaporation is activated by the FICE, causing mutual adjustment between the FICE and the field evaporation, until the head of the metal wire has a shape of combination of a base and a tip on the base; and stopping the FICE and the field evaporation when the head of the metal wire takes a predetermine shape.
    Type: Application
    Filed: May 4, 2012
    Publication date: March 20, 2014
    Applicant: 38th RESEARCH INSTITUTE, CHINA ELECTRONICS TECHNOLOGY GROUP CORPORATION
    Inventors: Huarong Liu, Ping Chen
  • Patent number: 8673787
    Abstract: A method of high aspect ratio contact etching a substantially vertical contact hole in an oxide layer using a hard photoresist mask is described. The oxide layer is deposited on an underlying substrate. A plasma etching gas is formed from a carbon source gas. Dopants are mixed into the gas. The doped plasma etching gas etches a substantially vertical contact hole through the oxide layer by doping carbon chain polymers formed along the sidewalls of the contact holes during the etching process into a conductive state. The conductive state of the carbon chain polymers reduces the charge buildup along sidewalls to prevent twisting of the contact holes by bleeding off the charge and ensuring proper alignment with active area landing regions. The etching stops at the underlying substrate.
    Type: Grant
    Filed: June 21, 2011
    Date of Patent: March 18, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Max F. Hineman, Daniel A. Steckert, Jingyi Bai, Shane J. Trapp, Tony Schrock
  • Patent number: 8673162
    Abstract: A method and apparatus for planarizing magnetically susceptible layers of substrates is provided. A patterned resist is formed on the magnetically susceptible layer, and the substrate is subjected to a plasma immersion ion implantation process to change a magnetic property of the magnetically susceptible layer according to the pattern of the resist material. The substrate is subjected to a plasma material removal process either before or after the implantation process to planarize the surface of the magnetically susceptible layer resulting from the implantation process. The plasma material removal process may be directional or non-directional.
    Type: Grant
    Filed: December 10, 2010
    Date of Patent: March 18, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Roman Gouk, Steven Verhaverbeke, Matthew D. Scotney-Castle, Martin A. Hilkene
  • Patent number: 8673406
    Abstract: The invention relates to a method for the plasma treatment of glass surfaces, the metal component, in particular the alkali and/or alkaline-earth metal component in the superficial region of the substrate being reduced by a plasma treatment of a substrate.
    Type: Grant
    Filed: February 28, 2007
    Date of Patent: March 18, 2014
    Assignee: Schott AG
    Inventors: Matthias Bicker, Uwe Rothhaar, Robert Hormes, Bernd Hanser, Manfred Lohmeyer, Stefan Bauer
  • Patent number: 8673781
    Abstract: The present invention relates to a plasma etching method with which a wide-gap semiconductor substrate can be etched with high accuracy. An inert gas is supplied into a processing chamber and plasma is generated from the inert gas, a bias potential is applied to a platen on which a wide-gap semiconductor substrate is placed, thereby making ions generated by the generation of plasma from the inert gas incident on the semiconductor substrate on the platen to thereby heat the semiconductor substrate. After the temperature of the semiconductor substrate reaches an etching temperature between 200° C. and 400° C., an etching gas is supplied into the processing chamber and plasma is generated from the etching gas and a bias potential is applied to the platen, thereby etching the semiconductor substrate while maintaining the temperature of the semiconductor substrate at the etching temperature.
    Type: Grant
    Filed: September 6, 2010
    Date of Patent: March 18, 2014
    Assignee: Sumitomo Precision Products Co., Ltd.
    Inventors: Akimitsu Oishi, Shoichi Murakami, Masayasu Hatashita
  • Patent number: 8673166
    Abstract: In a plasma processing apparatus, thrust-up pins are elevated and a thrust-up force is detected when electrostatic attraction for a substrate by a substrate holding device is ceased after completion of plasma processing, the elevation of the thrust-up pins is ceased upon detection of a detection threshold, and a stepped elevating operation in which the elevation and stoppage of the thrust-up pins are repeated a plurality of times are thereafter commenced on condition that the detected thrust-up force falls to or below the detection threshold and that release of the substrate from a placement surface has not been completed. In the stepped elevating operation, operation timing of the thrust-up device is controlled so that the completion of the release of the substrate from the placement surface is detected when the thrust-up pins are stopped after being elevated and so that the stepped elevating operation is continued on condition that the release has not been completed.
    Type: Grant
    Filed: May 28, 2009
    Date of Patent: March 18, 2014
    Assignee: Panasonic Corporation
    Inventors: Shogo Okita, Hiromi Asakura, Syouzou Watanabe, Toshihiro Wada, Mitsuhiro Okune, Mitsuru Hiroshima
  • Patent number: 8668837
    Abstract: A method for etching a substrate includes etching at least one first layer of the substrate with a non-uniform substrate temperature and etching at least one second layer of the substrate with uniform substrate temperatures.
    Type: Grant
    Filed: April 25, 2012
    Date of Patent: March 11, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Kenny Linh Doan, Jong Mun Kim
  • Patent number: 8668836
    Abstract: An object is to provide a plasma processing device capable of rightly monitoring existence of plasma discharge and also rightly monitoring existence of abnormal discharge. Another object of the present invention is to provide a method of monitoring a state of plasma discharge in the plasma processing device. A discharge detection sensor 23, in which a dielectric member 21 and a probe electrode unit 22 are combined with each other, is attached to an opening portion 2a provided in a lid portion 2 composing a vacuum chamber.
    Type: Grant
    Filed: August 21, 2008
    Date of Patent: March 11, 2014
    Assignee: Panasonic Corporation
    Inventors: Tatsuhiro Mizukami, Kiyoshi Arita, Masaru Nonomura
  • Publication number: 20140061157
    Abstract: An atmospheric pressure plasma source includes a body including a distal end, a blade extending from the distal end and terminating at a blade edge, a plasma-generating unit, and a plasma outlet communicating with the plasma-generating unit and positioned at the distal end. The plasma outlet is oriented at a downward angle generally toward the blade edge, wherein the plasma outlet provides a plasma path directed generally toward the blade edge. The plasma may be applied to the coating at an interface between the coating and an underlying substrate. While applying the plasma, the blade is moved into contact with the coating at the interface, wherein the blade assists in separating the coating from the substrate while one or more components of the coating react with energetic species of the plasma.
    Type: Application
    Filed: November 6, 2013
    Publication date: March 6, 2014
    Applicant: AP Solutions, Inc.
    Inventors: Peter Joseph Yancey, Jeffrey Kingsley
  • Patent number: 8664124
    Abstract: A method of etching or removing an organic hardmask overlying a low dielectric constant film in a lithographic process. The method includes providing a dielectric film having thereover an organic hardmask to be removed, the dielectric film having a dielectric constant no greater than about 4.0, introducing over the organic hardmask an ionizable gas comprising a mixture of hydrogen and an oxidizing gas, and applying energy to the mixture to create a plasma of the mixture. The method further includes contacting the organic hardmask with the plasma, with the organic hardmask being at a temperature in excess of 200° C., to remove the organic hardmask without substantially harming the underlying substrate.
    Type: Grant
    Filed: February 13, 2012
    Date of Patent: March 4, 2014
    Assignee: Novellus Systems, Inc.
    Inventor: Wesley P. Graff
  • Patent number: 8663484
    Abstract: A method for manufacturing a printed circuit board enables a metal residue between wirings to be removed inexpensively without side etching of a copper layer while having sufficient insulation reliability for micro wiring working. The method includes forming a base metal layer directly at least on one face of an insulator film without an adhesive, and a copper coat layer formed on the base metal layer to form adhesiveless copper clad laminates, then forming a pattern on the adhesiveless copper clad laminates by an etching method. The etching method includes a process of etching treatment for the adhesiveless copper clad laminates with an iron (III) chloride solution or a copper (II) chloride solution containing hydrochloric acid and then, a process of treatment with an acid oxidant containing potassium permanganate.
    Type: Grant
    Filed: June 23, 2008
    Date of Patent: March 4, 2014
    Assignee: Sumitomo Metal Mining Co., Ltd.
    Inventors: Harumi Nagao, Yoshiyuki Asakawa
  • Publication number: 20140054268
    Abstract: System and methods for plasma processing of a wafer include a chamber with an electrode having a support surface and an outer edge region defined thereon. A radio frequency power is communicated to the electrode via a conductive delivery connection and returned through a conductive return connection. A capacitance is applied to a first end that causes appropriate capacitive adjustment and opposite impedance adjustment at a second end of the conductive delivery connection that is coupled to a dielectric surround structure that surrounds the electrode. The dielectric surround structure presents the opposite impedance adjustment near an outer edge of the electrode, such that increasing the capacitance at the first end causes a corresponding increase of impedance at the second end and a corresponding increase in voltage distribution near the outer edge region of the electrode that decreases toward a center of the support surface of the electrode.
    Type: Application
    Filed: August 24, 2012
    Publication date: February 27, 2014
    Applicant: Lam Research Corporation
    Inventors: Zhigang Chen, Eric Hudson
  • Publication number: 20140054269
    Abstract: Methods for etching a substrate in a plasma processing chamber having at least a primary plasma generating region and a secondary plasma generating region separated from said primary plasma generating region by a semi-barrier structure. The method includes generating a primary plasma from a primary feed gas in the primary plasma generating region. The method also includes generating a secondary plasma from a secondary feed gas in the secondary plasma generating region to enable at least some species from the secondary plasma to migrate into the primary plasma generating region. The method additionally includes etching the substrate with the primary plasma after the primary plasma has been augmented with migrated species from the secondary plasma.
    Type: Application
    Filed: September 25, 2012
    Publication date: February 27, 2014
    Inventors: Eric A. Hudson, Andrew D. Bailey, III, Rajinder Dhindsa
  • Patent number: 8658050
    Abstract: Techniques for minimizing or eliminating pattern deformation during lithographic pattern transfer to inorganic substrates are provided. In one aspect, a method for pattern transfer into an inorganic substrate is provided. The method includes the following steps. The inorganic substrate is provided. An organic planarizing layer is spin-coated on the inorganic substrate. The organic planarizing layer is baked. A hardmask is deposited onto the organic planarizing layer. A photoresist layer is spin-coated onto the hardmask. The photoresist layer is patterned. The hardmask is etched through the patterned photoresist layer using reactive ion etching (RIE). The organic planarizing layer is etched through the etched hardmask using RIE. A high-temperature anneal is performed in the absence of oxygen. The inorganic substrate is etched through the etched organic planarizing layer using reactive ion etching.
    Type: Grant
    Filed: July 27, 2011
    Date of Patent: February 25, 2014
    Assignee: International Business Machines Corporation
    Inventors: Sebastian Ulrich Engelmann, Martin Glodde, Michael A. Guillorn
  • Patent number: 8658048
    Abstract: The present invention aims to prevent decreases in etching rate due to adhesion of an etched film to a substrate holder. A method of manufacturing a magnetic recording medium includes: forming a first film on a substrate holder not yet having a substrate mounted thereon; mounting a substrate on the substrate holder having the first film formed thereon, the substrate having a resist layer formed on a multilayer film including a magnetic film layer, the resist layer having a predetermined pattern; and processing the magnetic film layer into a shape based on the predetermined pattern by performing dry etching on the substrate. The first film is a film that is not etched as easily as the films in the multilayer film to be removed by the dry etching.
    Type: Grant
    Filed: October 31, 2011
    Date of Patent: February 25, 2014
    Assignee: Canon Anelva Corporation
    Inventors: Kazuto Yamanaka, Shogo Hiramatsu
  • Patent number: 8652342
    Abstract: A semiconductor fabrication apparatus and a method of fabricating a semiconductor device using the same performs semiconductor etching and deposition processes at an edge of a semiconductor substrate after disposing the semiconductor substrate at a predetermined place in the semiconductor fabrication apparatus. The semiconductor fabrication apparatus has lower, middle and upper electrodes sequentially stacked. The semiconductor substrate is disposed on the middle electrode. Semiconductor etching and deposition processes are performed on the semiconductor substrate in the semiconductor fabrication apparatus. The semiconductor fabrication apparatus forms electrical fields along an edge of the middle electrode during performance of the semiconductor etching and deposition processes.
    Type: Grant
    Filed: May 10, 2012
    Date of Patent: February 18, 2014
    Assignee: Samsung Electronics Co., Ltd
    Inventors: Kyung-Woo Lee, Jin-Sung Kim, Joo-Byoung Yoon, Yeong-Cheol Lee, Sang-Jun Park, Hee-Kyeong Jeon
  • Patent number: 8652341
    Abstract: A method and an apparatus for forming a structure on a component made of a material composed of silicon oxide, especially of silicate glass, glass ceramic or quartz, wherein in accordance with the process at least a first surface of the component a partial removal of the material by plasma etching takes place and during the plasma etching at least at the surface to be etched a substrate temperature is established which is substantially greater than 90° C. but less than the softening temperature of the material. The apparatus is equipped for this purpose with a heater for generating the substrate temperature.
    Type: Grant
    Filed: April 30, 2009
    Date of Patent: February 18, 2014
    Assignee: FHR Anlagenbau GmbH
    Inventors: Thomas Gessner, Andreas Bertz, Reinhard Schubert, Thomas Werner, Wolfgang Hentsch, Reinhard Fendler, Lutz Koehler
  • Patent number: 8652343
    Abstract: A method for the selective removal of material from a substrate surface for forming a deepening includes the steps of applying a mask onto the substrate surface in accordance with the desired selective removal and dry-etching the substrate, a metal, preferably aluminum, being used as the masking material. Power may be coupled inductively to a plasma.
    Type: Grant
    Filed: August 14, 2003
    Date of Patent: February 18, 2014
    Assignee: Excelitas Technologies Singapore Pte. Ltd.
    Inventor: Martin Hausner
  • Publication number: 20140042123
    Abstract: A plasma processing apparatus which can improve density uniformity of plasma excited by a high frequency wave (such as in the VHF frequency band) for a substrate having a large size. The plasma processing apparatus includes a waveguide member defining a waveguide, a coaxial tube supplying electromagnetic energy from a predetermined power supply position in the longitudinal direction of the waveguide into the waveguide, and a plurality of electrodes for electric field formation, to which the electromagnetic energy is supplied through the waveguide and which is disposed so as to face a plasma formation space, the plurality of electrodes are being arranged in the longitudinal direction of the waveguide, and each of the plurality of electrodes extends in the width direction of the waveguide.
    Type: Application
    Filed: February 23, 2012
    Publication date: February 13, 2014
    Applicant: TOHOKU UNIVERSITY
    Inventor: Masaki Hirayama
  • Patent number: 8647439
    Abstract: Methods of preparing a clean surface of germanium tin or silicon germanium tin layers for subsequent deposition are provided. An overlayer of Ge, doped Ge, another GeSn or SiGeSn layer, a doped GeSn or SiGeSn layer, an insulator, or a metal can be deposited on a prepared GeSn or SiGeSn layer by positioning a substrate with an exposed germanium tin or silicon germanium tin layer in a processing chamber, heating the processing chamber and flowing a halide gas into the processing chamber to etch the surface of the substrate using either thermal or plasma assisted etching followed by depositing an overlayer on the substantially oxide free and contaminant free surface. Methods can also include the placement and etching of a sacrificial layer, a thermal clean using rapid thermal annealing, or a process in a plasma of nitrogen trifluoride and ammonia gas.
    Type: Grant
    Filed: April 26, 2012
    Date of Patent: February 11, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Errol Antonio C. Sanchez, Yi-Chiau Huang
  • Patent number: 8647521
    Abstract: The present invention relates to a method of forming micro patterns of a semiconductor device. In the method according to an aspect of the present invention, first etch mask patterns having a second pitch, which is twice larger than a first pitch of target patterns, are formed in a column direction over a semiconductor substrate. An auxiliary film is formed over the semiconductor substrate including a surface of the first etch mask patterns. An etch mask film is formed over the semiconductor substrate including the auxiliary film. An etch process is performed in order to form second etch mask patterns having the second pitch in such a manner that the etch mask film, the auxiliary film, and the first etch mask patterns are isolated from one another in a row direction and the etch mask film remains between the first etch mask patterns. The auxiliary film between the first and second etch mask patterns is removed.
    Type: Grant
    Filed: June 5, 2008
    Date of Patent: February 11, 2014
    Assignee: SK hynix Inc.
    Inventor: Woo Yung Jung
  • Publication number: 20140034611
    Abstract: A plasma processing tool is used to deposit material on a workpiece. For example, a method for conformal deposition of material is disclosed. In this embodiment, the plasma sheath shape is modified to allow material to impact the workpiece at a range of incident angles. By varying this range of incident angles over time, a variety of different features can be deposited onto. In another embodiment, a plasma processing tool is used to etch a workpiece. In this embodiment, the plasma sheath shape is altered to allow ions to impact the workpiece at a range of incident angles. By varying this range of incident angles over time, a variety of differently shaped features can be created.
    Type: Application
    Filed: October 16, 2013
    Publication date: February 6, 2014
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Ludovic Godet, Timothy Miller, George Papasouliotis, Vikram Singh
  • Publication number: 20140034610
    Abstract: Apparatus and methods for plasma processing workpieces of different diameters. The apparatus includes a lift plate having an outer perimeter, an opening inside of the outer perimeter, and a gap extending between the opening and the outer perimeter. The lift plate includes annular rims of different inner diameters and that are configured to respectively support the first and second workpieces.
    Type: Application
    Filed: August 6, 2012
    Publication date: February 6, 2014
    Applicant: NORDSON CORPORATION
    Inventors: James P. Fazio, David K. Foote, James D. Getty
  • Patent number: 8642473
    Abstract: Methods and apparatus for removing oxide from a surface, the surface comprising at least one of silicon and germanium, are provided. The method and apparatus are particularly suitable for removing native oxide from a metal silicide layer of a contact structure. The method and apparatus advantageously integrate both the etch stop layer etching process and the native oxide removal process in a single chamber, thereby eliminating native oxide growth or other contaminates redeposit during the substrate transfer processes. Furthermore, the method and the apparatus also provides the improved three-step chemical reaction process to efficiently remove native oxide from the metal silicide layer without adversely altering the geometry of the contact structure and the critical dimension of the trenches or vias formed in the contact structure.
    Type: Grant
    Filed: March 2, 2012
    Date of Patent: February 4, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Mei Chang, Linh Thanh, Bo Zheng, Arvind Sundarrajan, John C. Forster, Umesh M. Kellkar, Murali K. Narasimhan
  • Patent number: 8642480
    Abstract: A plasma etching system having a substrate support assembly with multiple independently controllable heater zones. The plasma etching system is configured to control etching temperature of predetermined locations so that pre-etch and/or post-etch non-uniformity of critical device parameters can be compensated for.
    Type: Grant
    Filed: December 13, 2010
    Date of Patent: February 4, 2014
    Assignee: Lam Research Corporation
    Inventors: Keith William Gaff, Harmeet Singh, Keith Comendant, Vahid Vahedi
  • Patent number: 8642477
    Abstract: A method for clearing native oxide is described. A substrate is provided, including an exposed portion whereon a native oxide layer has been formed. A clearing process is performed to the substrate using nitrogen trifluoride (NF3) and ammonia (NH3) as a reactant gas, wherein the volumetric flow rate of NF3 is greater than that of NH3.
    Type: Grant
    Filed: May 30, 2008
    Date of Patent: February 4, 2014
    Assignee: United Microelectronics Corp.
    Inventors: Yen-Chu Chen, Teng-Chun Tsai, Chien-Chung Huang, Keng-Jen Liu
  • Patent number: 8643280
    Abstract: A method of establishing a DC bias in front of at least one electrode in a plasma operating apparatus by applying an RF voltage with at least two harmonic frequency components with a controlled relative phase between the components, where at least one of the higher frequency components is established as an even multiple of the lower frequency component.
    Type: Grant
    Filed: July 11, 2008
    Date of Patent: February 4, 2014
    Assignee: Ruhr-Universität Bochum
    Inventors: Brian George Heil, Uwe Czarnetzki, Ralf Peter Brinkmann, Thomas Mussenbrock
  • Patent number: 8641916
    Abstract: A plasma etching method for forming a hole in an etching target film by a plasma processing apparatus is provided. The apparatus includes an RF power supply for applying RF power for plasma generation to at least one of upper and lower electrodes, and a DC power supply for applying minus DC voltage to the upper electrode. A first condition that plasma is generated by turning on the RF power supply and minus DC voltage is applied to the upper electrode and a second condition that the plasma is extinguished by turning off the RF power supply and minus DC voltage is applied to the upper electrode are alternately repeated. Etching is performed by positive ions in the plasma under the first condition and negative ions are supplied into the hole by the DC voltage to neutralize positive ions in the hole under the second condition.
    Type: Grant
    Filed: January 25, 2010
    Date of Patent: February 4, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Koichi Yatsuda, Yoshinobu Ooya, Shin Okamoto, Hiromasa Mochiki