Of Capacitor (epo) Patents (Class 257/E21.008)
  • Publication number: 20120190165
    Abstract: Techniques for using gate arrays to create capacitive structures within an integrated circuit are disclosed. Embodiments comprise placing a gate array of P-type field effect transistors (P-fets) and N-type field effect transistors (N-fets) in an integrated circuit design, coupling drains and sources for one or more P-fets and gates for one or more N-fets to a power supply ground, and coupling gates for the one or more P-fets and the drains and sources for one or more N-fets to a positive voltage of the power supply. In some embodiments, source-to-drain leakage current for capacitive apparatuses of P-fets and N-fets are minimized by biasing one or more P-fets and one or more N-fets to the positive voltage and the ground, respectively. In other embodiments, the capacitive structures may be implemented using fusible elements to isolate the capacitive structures in case of shorts.
    Type: Application
    Filed: April 1, 2012
    Publication date: July 26, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Anthony Correale, JR., Benjamin J. Bowers, Douglass T. Lamb, Nishith Rohatgi
  • Publication number: 20120190154
    Abstract: A semiconductor device includes a substrate, an insulating film formed over the substrate, first and second conductive plugs formed in the insulating film, a capacitor element, and a wiring. The capacitor element includes a lower electrode, a dielectric film, and an upper electrode. The lower electrode is connected to an end of the first plug and formed on the insulating film, and includes a first barrier film. The dielectric film is formed on upper and side surfaces of the lower electrode. The upper electrode is formed on the dielectric film, and includes a second barrier metal film being wider than the lower electrode. The wiring is connected to an end of the second plug and formed on the insulating film, and includes a first layer and a second layer formed on the first layer. The first and second layers include the first and second barrier metal films, respectively.
    Type: Application
    Filed: March 29, 2012
    Publication date: July 26, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Tetsuo Yoshimura, Kenichi Watanabe, Satoshi Otsuka
  • Patent number: 8227847
    Abstract: The present invention describes an ultra High-Density Capacitor design, integrated in a semiconductor substrate, preferably a Si substrate, by using both wafer sides. The capacitors are pillar-shaped and comprise electrodes (930,950) separated by a dielectric layer (940). Via connections (920) are provided in trenches that go through the whole thickness of the wafer.
    Type: Grant
    Filed: February 17, 2009
    Date of Patent: July 24, 2012
    Assignee: NXP B.V.
    Inventors: Francois Neuilly, Francois Le Cornec
  • Patent number: 8227311
    Abstract: A method of fabricating a trench capacitor is provided in which a material composition of a semiconductor region of a substrate varies in a quantity of at least one component therein such that the quantity alternates with depth a plurality of times between at least two different values. For example, a concentration of a dopant or a weight percentage of a second semiconductor material in a semiconductor alloy can alternate between with depth a plurality of times between higher and lower values. In such method, the semiconductor region can be etched in a manner dependent upon the material composition to form a trench having an interior surface which undulates in a direction of depth from the major surface of the semiconductor region. Such method can further include forming a trench capacitor having an undulating capacitor dielectric layer, wherein the undulations of the capacitor dielectric layer are at least partly determined by the undulating interior surface of the trench.
    Type: Grant
    Filed: October 7, 2010
    Date of Patent: July 24, 2012
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Byeong Y. Kim, Munir D. Naeem, James P. Norum
  • Patent number: 8227846
    Abstract: A decoupling capacitor includes a pair of MOS capacitors formed in wells of opposite plurality. Each MOS capacitor has a set of well-ties and a high-dose implant, allowing high frequency performance under accumulation or depletion biasing. The top conductor of each MOS capacitor is electrically coupled to the well-ties of the other MOS capacitor and biased consistently with logic transistor wells. The well-ties and/or the high-dose implants of the MOS capacitors exhibit asymmetry with respect to their dopant polarities.
    Type: Grant
    Filed: February 12, 2010
    Date of Patent: July 24, 2012
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Andrew E. Carlson
  • Publication number: 20120181661
    Abstract: A method of forming a deep trench capacitor includes providing a wafer. Devices are formed on a front side of the wafer. A through-silicon-via is formed on a substrate of the wafer. Deep trenches are formed on a back side of the wafer. A deep trench capacitor is formed in the deep trench. The through-silicon-via connects the deep trench capacitor to the devices.
    Type: Application
    Filed: March 23, 2012
    Publication date: July 19, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: ROGER A. BOOTH, JR., KANGGUO CHENG, ROBERT HANNON, RAVI M. TODI, GENG WANG
  • Publication number: 20120181658
    Abstract: A capacitor can include a substrate having a first surface, a second surface remote from the first surface, and a through opening extending between the first and second surfaces, first and second metal elements, and a capacitor dielectric layer separating and insulating the first and second metal elements from one another at least within the through opening. The first metal element can be exposed at the first surface and can extend into the through opening. The second metal element can be exposed at the second surface and can extend into the through opening. The first and second metal elements can be electrically connectable to first and second electric potentials. The capacitor dielectric layer can have an undulating shape.
    Type: Application
    Filed: July 14, 2011
    Publication date: July 19, 2012
    Applicant: TESSERA RESEARCH LLC
    Inventors: Ilyas Mohammed, Belgacem Haba, Cyprian Uzoh, Piyush Savalia, Vage Oganesian
  • Publication number: 20120184081
    Abstract: A method of fabricating a MIM capacitor is provided. The method includes providing a substrate including a dielectric layer formed on a first conductive layer and a second conductive layer formed over the dielectric layer, and patterning a mask on the second conductive layer. Exposed portions of the second conductive layer are removed to form an upper plate of a MIM capacitor having edges substantially aligned with respective edges of the mask. The upper plate is undercut so that edges of the upper plate are located under the mask. Exposed portions of the dielectric layer and the first conductive layer are removed using the mask to form a capacitor dielectric layer and a lower plate of the MIM capacitor having edges substantially aligned with respective edges of the mask.
    Type: Application
    Filed: March 28, 2012
    Publication date: July 19, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Anil K. CHINTHAKINDI, Douglas D. COOLBAUGH, Keith E. DOWNES, Ebenezer E. ESHUN, Zhong-Xiang HE, Robert M. RASSEL, Anthony K. STAMPER
  • Patent number: 8220140
    Abstract: A system for performing bonding of a first substrate including a first plurality of solder pads to a second substrate including a second plurality of solder pads comprises a first alignment mark set and a first plurality of dots on the first substrate. The system further comprises a second alignment mark set and a second plurality of dots on the second substrate. The second plurality of dots are configured to interlock and form an interlocking key with the first plurality of dots. The first alignment mark set is aligned with the second alignment mark set corresponding to the first and second plurality of dots being aligned and the first and second plurality of solder pads being aligned. The first and second plurality of dots are configured to remain substantially solid during a reflow of the first plurality of solder pads.
    Type: Grant
    Filed: September 13, 2010
    Date of Patent: July 17, 2012
    Assignee: Western Digital (Fremont), LLC
    Inventors: Lei Wang, Luc Ving Chung
  • Publication number: 20120175736
    Abstract: A substrate is provided with a first wiring layer 111, an interlayer insulating film 132 on the first wiring layer 111, a hole 112A formed in the interlayer insulating film, a first metal layer 112 covering the hole 112A, a second metal layer 113 formed in the hole 112A, a dielectric insulating film 135 on the first metal layer 112, and second wiring layers 114-116 on the dielectric insulating film 135, wherein the first metal layer 112 constitutes at least part of the lower electrode, an area, facing the lower electrode, of the second wiring layers 114-116 constitutes the upper electrode, and a capacitor 160 is constructed of the lower electrode, the dielectric insulating film 135 and the upper electrode P1.
    Type: Application
    Filed: March 21, 2012
    Publication date: July 12, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Kenichi Watanabe
  • Publication number: 20120175735
    Abstract: A semiconductor device is made by depositing an encapsulant material between first and second plates of a chase mold to form a molded substrate. A first conductive layer is formed over the molded substrate. A resistive layer is formed over the first conductive layer. A first insulating layer is formed over the resistive layer. A second insulating layer is formed over the first insulating layer, resistive layer, first conductive layer, and molded substrate. A second conductive layer is formed over the first insulating layer, resistive layer, and first conductive layer. A third insulating layer is formed over the second insulating layer and second conductive layer. A bump is formed over the second conductive layer. The first conductive layer, resistive layer, first insulating layer, and second conductive layer constitute a MIM capacitor. The second conductive layer is wound to exhibit inductive properties.
    Type: Application
    Filed: March 18, 2012
    Publication date: July 12, 2012
    Applicant: STATS CHIPPAC, LTD.
    Inventor: Yaojian Lin
  • Publication number: 20120175733
    Abstract: A device structure includes an inter-level dielectric, a via, a first conductive trench, and a second conductive trench. The inter-level dielectric has a top surface and a bottom surface. The via extends from the top surface to the bottom surface. The first conductive trench extends from the top surface to a first depth below the top surface. The second conductive trench extends from the top surface to a second depth below the top surface, wherein the second depth is above the bottom surface and below the first depth.
    Type: Application
    Filed: January 12, 2011
    Publication date: July 12, 2012
    Inventors: BERND E. KASTENMEIER, Raman E. Evazians
  • Patent number: 8216911
    Abstract: Methods for selectively etching doped oxides in the manufacture of microfeature devices are disclosed herein. An embodiment of one such method for etching material on a microfeature workpiece includes providing a microfeature workpiece including a doped oxide layer and a nitride layer adjacent to the doped oxide layer. The method include selectively etching the doped oxide layer with an etchant comprising DI:HF and an acid to provide a pH of the etchant such that the etchant includes (a) a selectivity of phosphosilicate glass (PSG) to nitride of greater than 250:1, and (b) an etch rate through PSG of greater than 9,000 ?/minute.
    Type: Grant
    Filed: September 2, 2010
    Date of Patent: July 10, 2012
    Assignee: Micron Technology, Inc.
    Inventor: Niraj Rana
  • Patent number: 8216897
    Abstract: A method for manufacturing a semiconductor device is disclosed. A method for manufacturing a semiconductor device includes forming a device isolation structure for defining an active region, forming a buried word line traversing the active region, forming one or more insulation film patterns over the buried word line, forming a line pattern including a first conductive material at a position between the insulation film patterns, and forming a plurality of storage node contacts (SNCs) by isolating the line pattern. As a result, when forming a bit line contact and a storage node contact, a fabrication margin is increased.
    Type: Grant
    Filed: December 29, 2010
    Date of Patent: July 10, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Do Hyung Kim
  • Patent number: 8217487
    Abstract: Disclosed is a power semiconductor device including a bootstrap circuit. The power semiconductor device includes a high voltage unit that provides a high voltage control signal so that a high voltage is output; a low voltage unit that provides a low voltage control signal so that a ground voltage is output, and is spaced apart from the high voltage unit; a charge enable unit that is electrically connected to the low voltage unit and charges a bootstrap capacitor for supplying power to the high voltage unit when the high voltage is output, when the ground voltage is output; and a high voltage cut-off unit that cuts off the high voltage when the high voltage is output so that the high voltage is not applied to the charge enable unit, and includes a first terminal electrically connected to the charge enable unit and a second terminal electrically connected to the high voltage unit.
    Type: Grant
    Filed: April 20, 2010
    Date of Patent: July 10, 2012
    Assignee: Fairchild Korea Semiconductor Ltd.
    Inventors: Yongcheol Choi, Chang-Ki Jeon, Minsuk Kim, Donghwan Kim
  • Publication number: 20120171836
    Abstract: A method for fabricating an out-of-plane variable overlap MEMS capacitor comprises: providing a substrate (40) comprising a first layer (41), a second layer (42), and a third layer (43) stacked on top of one another; and etching a plurality of first trenches (70) through the third layer (43), through the second layer (42), and into the first layer (41) using a single etching mask. Etching the plurality of first trenches (70) defines a plurality of first fingers (51) in the third layer (43) and a plurality of second fingers (52) in the first layer (41). By using a single mask, the process is self-aligned. The method further comprises removing the second layer (42) in a first region where the plurality of first trenches (70) are provided, thereby forming a spacing or gap between the plurality of first fingers (51) and the plurality of second fingers (52).
    Type: Application
    Filed: July 1, 2010
    Publication date: July 5, 2012
    Applicants: IMEC, Katholieke Universiteit Leuven, Stichting IMEC Nederland
    Inventors: Tom Sterken, Geert Altena, Martijn Goedbloed, Robert Puers
  • Publication number: 20120171785
    Abstract: There are provided a capacitor lower electrode formed on an adhesive layer, whose surface roughness is 0.79 nm or less, and having a (111) orientation that is inclined from a perpendicular direction to an upper surface of a substrate by 2.3° or less, a ferroelectric layer having a structure the (111) orientation of which is inclined from the perpendicular direction to the upper surface of the substrate by 3.5° or less, and a capacitor upper electrode.
    Type: Application
    Filed: March 12, 2012
    Publication date: July 5, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Tomohiro Takamatsu, Junichi Watanabe, Ko Nakamura, Wensheng Wang, Naoyuki Sato, Aki Dote, Kenji Nomura, Yoshimasa Horii, Masaki Kurasawa, Kazuaki Takai
  • Publication number: 20120168905
    Abstract: The capacitor of a nonvolatile memory device includes first and second electrodes formed in the capacitor region of a semiconductor substrate to respectively have consecutive concave and convex shape of side surfaces formed along each other and a dielectric layer formed between the first and the second electrodes.
    Type: Application
    Filed: November 3, 2011
    Publication date: July 5, 2012
    Inventor: Je Il RYU
  • Publication number: 20120171783
    Abstract: Provided is a ferroelectric memory including a silicon substrate, a transistor formed on the silicon substrate, and a ferroelectric capacitor formed above the transistor. The ferroelectric capacitor includes a lower electrode, a ferroelectric film formed on the lower electrode, an upper electrode formed on the ferroelectric film, and a metal film formed on the upper electrode.
    Type: Application
    Filed: March 6, 2012
    Publication date: July 5, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Kouichi Nagai
  • Publication number: 20120168902
    Abstract: A method for fabricating a capacitor includes providing a substrate having a first surface and a second surface, and forming a plurality of openings in the substrate, the openings are separated from each other by a shape of the substrate, each opening having sidewalls and a bottom. The method further includes submitting the substrate including the openings to an oxidation process to form an oxide layer covering the sidewalls and the bottom of the openings, and a portion of a surface of the substrate, wherein a shape of the substrate disposed between a pair of two adjacent openings is completely oxidized to form an insulation layer between the pair of two adjacent openings; and depositing a conductive material layer over the oxide layer in the openings such that the conductive material layer is electrically continuous and such that the pair of adjacent openings form a capacitor.
    Type: Application
    Filed: September 16, 2011
    Publication date: July 5, 2012
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Yefang Zhu, Liangliang Guo, Herb Huang
  • Publication number: 20120161215
    Abstract: A rectangular capacitor for dynamic random access memory (DRAM) and a dual-pass lithography method to form the same are described. For example, a capacitor includes a trench disposed in a first dielectric layer disposed above a substrate. A cup-shaped metal plate is disposed along the bottom and sidewalls of the trench. A second dielectric layer is disposed on and conformal with the cup-shaped metal plate. A trench-fill metal plate is disposed on the second dielectric layer. The second dielectric layer isolates the trench-fill metal plate from the cup-shaped metal plate. The capacitor has a rectangular or near-rectangular shape from a top-down perspective.
    Type: Application
    Filed: December 22, 2010
    Publication date: June 28, 2012
    Inventor: Nick Lindert
  • Publication number: 20120161281
    Abstract: A method of manufacturing a semiconductor device includes: forming a core insulating film that includes first openings, on a semiconductor substrate; forming cylindrical lower electrodes that cover sides of the first openings with a conductive film; forming a support film that covers at least an upper surface of the core insulating film between the lower electrodes; forming a mask film in which an outside of a region where at least the lower electrodes are formed is removed, by using the support film; and performing isotropic etching on the core insulating film so as to leave the core insulating film at a part of an area between the lower electrodes, after the mask film is formed.
    Type: Application
    Filed: December 28, 2011
    Publication date: June 28, 2012
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Eiji HASUNUMA
  • Publication number: 20120164812
    Abstract: In a method of manufacturing a semiconductor device, a mask is formed on a substrate. The substrate is divided into a first region and a second region. An upper portion of the substrate in the first region is partially removed using the mask as an etching mask to form a recess. A first gate structure is formed in the recess. A portion of the mask in the first region is removed. A blocking layer pattern is formed on the substrate in the first region over the first gate structure.
    Type: Application
    Filed: September 22, 2011
    Publication date: June 28, 2012
    Inventors: Ho-In Ryu, Jong-Un Kim, Hyeon-Kyu Lee
  • Publication number: 20120153434
    Abstract: Metal-insulator-metal (MIM) capacitors and methods for fabricating MIM capacitors. The MIM capacitor includes an interlayer dielectric (ILD) layer with apertures each bounded by a plurality of sidewalls and each extending from the top surface of the ILD layer into the first interlayer dielectric layer. A layer stack, which is disposed on the sidewalls of the apertures and the top surface of the ILD layer, includes a bottom conductive electrode, a top conductive electrode, and a capacitor dielectric between the bottom and top conductive electrodes.
    Type: Application
    Filed: December 15, 2010
    Publication date: June 21, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Michel J. Abou-Khalil, Robert J. Gauthier, JR., Tom C. Lee, Junjun Li, Souvick Mitra, Christopher S. Putnam, Anthony K. Stamper
  • Publication number: 20120156849
    Abstract: A method for fabricating a semiconductor device includes forming a storage node contact plug over a cell region of a substrate, forming a first inter-layer dielectric layer over the substrate, forming a first bit line over the first inter-layer dielectric layer in a peripheral region of the substrate, forming a second inter-layer dielectric layer over the first inter-layer dielectric layer, forming a second bit line over the second inter-layer dielectric layer, etching the second inter-layer dielectric layer to expose an upper surface of the storage node contact plug in the cell region, forming a capacitor contacting the storage node contact plug, forming a third inter-layer dielectric layer over the substrate having the capacitor formed thereon, forming a metal contact through the third inter-layer dielectric layer to contact the second bit line in the peripheral region, and forming a metal line contacting the metal contact over the third inter-layer dielectric layer.
    Type: Application
    Filed: May 6, 2011
    Publication date: June 21, 2012
    Inventor: Jae-Seon YU
  • Publication number: 20120153369
    Abstract: A semiconductor device and a method for forming the same are disclosed. The semiconductor device includes a semiconductor substrate including a cell region and a peripheral circuit region, and an active region defined by a device isolation film, at least one dummy gate formed over the active region to expose a center part and both ends of the active region, a bit line contact plug formed between the dummy gates so as to be coupled to the center part of the active region, and a storage node contact plug that is spaced apart from the bit line contact plug by the dummy gate and is coupled to both ends of the active region. As a result, the problem that the storage node contact hole is not open in the semiconductor device can be solved, resulting in improved semiconductor device characteristics.
    Type: Application
    Filed: July 13, 2011
    Publication date: June 21, 2012
    Applicant: Hynix Semiconductor Inc.
    Inventor: Kyung Ho HWANG
  • Publication number: 20120156854
    Abstract: This disclosure provides a method of fabricating a semiconductor stack and associated device, such as a capacitor or DRAM cell. In such a device, a high-K zirconia-based layer may be used as the primary dielectric together with a relatively inexpensive metal electrode based on titanium nitride. To prevent corruption of the electrode during device formation, a thin barrier layer can be used seal the electrode prior to the use of a high temperature process and a (high-concentration or dosage) ozone reagent (i.e., to create a high-K zirconia-based layer). In some embodiments, the barrier layer can also be zirconia-based, for example, a thin layer of doped or un-doped amorphous zirconia. Fabrication of a device in this manner facilitates formation of a device with dielectric constant of greater than 40 based on zirconia and titanium nitride, and generally helps produce less costly, increasingly dense DRAM cells and other semiconductor structures.
    Type: Application
    Filed: December 16, 2010
    Publication date: June 21, 2012
    Inventors: Hanhong Chen, Edward Haywood, Pragati Kumar, Sandra Malhotra, Xiangxin Rui
  • Publication number: 20120149169
    Abstract: Openings are formed in first and second mask layers. Next, diameter of the opening in the second mask layer is enlarged so that the diameter of the opening in the second mask layer becomes larger by a length X than diameter of the opening in the first mask layer. Thereafter, mask material is formed into the opening in the second mask layer, to form a cavity with a diameter X within the opening in the second mask layer. There is formed a mask which includes the second mask layer and the mask material having therein opening including the cavity.
    Type: Application
    Filed: February 15, 2012
    Publication date: June 14, 2012
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Mitsunari Sukekawa
  • Publication number: 20120146182
    Abstract: A component includes a substrate and a capacitor formed in contact with the substrate. The substrate can consist essentially of a material having a coefficient of thermal expansion of less than 10 ppm/° C. The substrate can have a surface and an opening extending downwardly therefrom. The capacitor can include at least first and second pairs of electrically conductive plates and first and second electrodes. The first and second pairs of plates can be connectable with respective first and second electric potentials. The first and second pairs of plates can extend along an inner surface of the opening, each of the plates being separated from at least one adjacent plate by a dielectric layer. The first and second electrodes can be exposed at the surface of the substrate and can be coupled to the respective first and second pairs of plates.
    Type: Application
    Filed: December 9, 2010
    Publication date: June 14, 2012
    Applicant: TESSERA RESEARCH LLC
    Inventors: Vage Oganesian, Belgacem Haba, Ilyas Mohammed, Piyush Savalia
  • Publication number: 20120149168
    Abstract: A multifunctional dielectric layer can be formed on a substrate, especially on an exposed metallic strip conductor system on a substrate. An additional metal layer is formed across the surface of the exposed metal strip conductors. The metal layer is then at least partially converted to a nonconducting metal oxide, the dielectric layer.
    Type: Application
    Filed: February 23, 2012
    Publication date: June 14, 2012
    Inventors: Johann Helneder, Markus Schwerd, Thomas Goebel, Andrea Mitchell, Heinrich Koerner, Martina Hommel
  • Publication number: 20120146185
    Abstract: After the formation of a first interlayer insulating, an etching stopper film made of SiON is formed thereon. Subsequently, a contact hole extending from the upper surface of the etching stopper film and reaching a high concentration impurity region is formed, and a first plug is formed by filling W into the contact hole. Next, a ferroelectric capacitor, a second interlayer insulating film, and the like are formed. Thereafter, a contact hole extending from the upper surface of the interlayer insulating film and reaching the first plug is formed. Then, the contact hole is filled with W to form a second plug. With this, even when misalignment occurs, the interlayer insulating film is prevented from being etched.
    Type: Application
    Filed: February 14, 2012
    Publication date: June 14, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Kouichi Nagai
  • Publication number: 20120142160
    Abstract: A method of fabricating a semiconductor device is disclosed, the method generally including the steps of: forming a gate dielectric layer on a semiconductor substrate;forming a gate electrode on the gate dielectric layer;forming an etch stop layer on the gate electrode;forming a capacitor on the semiconductor substrate adjacent to the gate electrode;after forming the capacitor, forming a contact hole passing through the etch stop layer on the gate electrode;and, diffusing deuterium into the gate dielectric layer through the contact hole.
    Type: Application
    Filed: August 24, 2011
    Publication date: June 7, 2012
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Seung-Uk Han, Nam-Ho Jeon, Satoru Yamada, Young-Jin Choi
  • Publication number: 20120142164
    Abstract: The present invention provides an integrated high voltage capacitor, a method of manufacture therefore, and an integrated circuit chip including the same. The integrated high voltage capacitor, among other features, includes a first capacitor plate (120) located over or in a semiconductor substrate (105), and an insulator (130) located over the first capacitor plate (120), at least a portion of the insulator (130) comprising an interlevel dielectric layer (135, 138, 143, or 148). The integrated high voltage capacitor further includes capacitance uniformity structures (910) located at least partially within the insulator (130) and a second capacitor plate (160) located over the insulator (130).
    Type: Application
    Filed: February 14, 2012
    Publication date: June 7, 2012
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: David L. Larkin, Lily X. Springer, Makoto Takemura, Ashish V. Gokhale, Dhaval A. Saraiya
  • Publication number: 20120142162
    Abstract: The present invention relates to a semiconductor and manufacturing method thereof, in which a nano tube structure is vertically grown to form a lower electrode of a cell region and a via contact of peripheral circuit region. Therefore, capacitance of the lower electrode is secured without an etching process for high aspect ratio. Also, the via contact can be formed for corresponding to the height of the lower electrode.
    Type: Application
    Filed: February 10, 2012
    Publication date: June 7, 2012
    Applicant: Hynix Semiconductor Inc.
    Inventor: Keon YOO
  • Publication number: 20120133020
    Abstract: A dielectric wafer has, on top of its front face, a front electrical connection including an electrical connection portion. A blind hole passes through from a rear face of the wafer to at least partially reveal a rear face of the electrical connection portion. A through capacitor is formed in the blind hole. The capacitor includes a first conductive layer covering the lateral wall and the electrical connection portion (forming an outer electrode), a dielectric intermediate layer covering the first conductive layer (forming a dielectric membrane), and a second conductive layer covering the dielectric intermediate layer (forming an inner electrode). A rear electrical connection is made to the inner electrode.
    Type: Application
    Filed: November 17, 2011
    Publication date: May 31, 2012
    Applicant: STMICROELECTRONICS S.A.
    Inventors: Sylvain Joblot, Alexis Farcy, Jean-Francois Carpentier, Pierre Bar
  • Publication number: 20120135579
    Abstract: A method uses a line pattern to form a semiconductor device including asymmetrical contact arrays. The method includes forming a plurality of parallel first conductive line layers extending in a first direction on a semiconductor substrate. In this method, the semiconductor substrate may have active regions forming an oblique angle with the first direction. The method may further include forming a first mask layer and a second mask layer and using the first mask layer and the second mask layer to form a trench comprising a line area and a contact area by etching the first conductive line layers using the first mask layer and the second mask layer. The method further includes forming a gap filling layer filling the line area of the trench and forming a spacer of sidewalls of the contact area and forming a second conductive line layer electrically connected to the active region.
    Type: Application
    Filed: November 10, 2011
    Publication date: May 31, 2012
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jong-chul Park, Sang-sup Jeong
  • Publication number: 20120133428
    Abstract: Electronic apparatus and methods of forming the electronic apparatus may include one or more insulator layers having a refractory metal and a non-refractory metal for use in a variety of electronic systems and devices. Embodiments can include electronic apparatus and methods of forming the electronic apparatus having a tantalum aluminum oxynitride film. The tantalum aluminum oxynitride film may be structured as one or more monolayers. The tantalum aluminum oxynitride film may be formed using atomic layer deposition. Metal electrodes may be disposed on a dielectric containing a tantalum aluminum oxynitride film.
    Type: Application
    Filed: February 7, 2012
    Publication date: May 31, 2012
    Inventors: Leonard Forbes, Kie Y. Ahn, Arup Bhattacharyya
  • Publication number: 20120133021
    Abstract: A main blind hole is formed in a front face of a wafer having a rear face. A through capacitor is formed in the main blind hole including a conductive outer electrode, a dielectric intermediate layer, and a filling conductive material forming an inner electrode. Cylindrical portions of the outer electrode, the dielectric intermediate layer and the inner electrode have front ends situated in a plane of the front face of the wafer. A secondary rear hole is formed in the rear face of the wafer to reveal a bottom of the outer electrode. A rear electrical connection is made to contact the bottom of the outer electrode through the secondary rear hole. A through hole via filled with a conductive material is provided adjacent the through capacitor. An electrical connection is made on the rear face between the rear electrical connection and the through hole via.
    Type: Application
    Filed: November 17, 2011
    Publication date: May 31, 2012
    Applicants: STMICROELECTRONICS (CROLLES 2) SAS, STMICROELECTRONICS S.A.
    Inventors: Sylvain Joblot, Alexy Farcy, Jean-Francois Carpentier, Pierre Bar
  • Patent number: 8187933
    Abstract: Some embodiments include dielectric structures. The structures include first and second portions that are directly against one another. The first portion may contain a homogeneous mixture of a first phase and a second phase. The first phase may have a dielectric constant of greater than or equal to 25, and the second phase may have a dielectric constant of less than or equal to 20. The second portion may be entirely a single composition having a dielectric constant of greater than or equal to 25. Some embodiments include electrical components, such as capacitors and transistors, containing dielectric structures of the type described above. Some embodiments include methods of forming dielectric structures, and some embodiments include methods of forming electrical components.
    Type: Grant
    Filed: September 30, 2010
    Date of Patent: May 29, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Noel Rocklein, Chris Carlson, Dave Peterson, Cunyu Yang, Praveen Vaidyanathan, Vishwanath Bhat
  • Publication number: 20120126300
    Abstract: A capacitor includes a first electrode, a first dielectric layer disposed on the first electrode, the first dielectric layer having a tetragonal crystal structure and including a first metal oxide layer doped with a first impurity, a second dielectric layer disposed on the first metal oxide layer, the second dielectric layer having a tetragonal crystal structure and including a second metal oxide layer doped with a second impurity, and a second electrode disposed on the second dielectric layer. The first dielectric layer has a lower crystallization temperature and a substantially higher dielectric constant than the second dielectric layer.
    Type: Application
    Filed: November 7, 2011
    Publication date: May 24, 2012
    Inventors: Kiyeon Park, Insang Jeon, Hanjin Lim, Yeongcheol Lee, Jun-Noh Lee
  • Publication number: 20120126369
    Abstract: A flip chip semiconductor device has a substrate with a plurality of active devices formed thereon. A passive device is formed on the substrate by depositing a first conductive layer over the substrate, depositing an insulating layer over the first conductive layer, and depositing a second conductive layer over the insulating layer. The passive device is a metal-insulator-metal capacitor. The deposition of the insulating layer and first and second conductive layers is performed without photolithography. An under bump metallization (UBM) layer is formed on the substrate in electrical contact with the plurality of active devices. A solder bump is formed over the UBM layer. The passive device can also be a resistor by depositing a resistive layer over the first conductive layer and depositing a third conductive layer over the resistive layer. The passive device electrically contacts the solder bump.
    Type: Application
    Filed: February 2, 2012
    Publication date: May 24, 2012
    Applicant: STATS CHIPPAC, LTD.
    Inventors: Yaojian Lin, Haijing Cao, Qing Zhang, Robert C. Frye
  • Publication number: 20120129314
    Abstract: A method of forming a capacitor structure includes forming a pad oxide layer overlying a substrate, a nitride layer overlying the pad oxide layer, an interlayer dielectric layer overlying the nitride layer, and a patterned polysilicon mask layer overlying the interlayer dielectric layer. The method then applies a first RIE process to form a trench region through a portion of the interlayer dielectric layer using the patterned polysilicon mask layer and maintaining the first RIE to etch through a portion of the nitride layer and through a portion of the pad oxide layer. The method stops the first RIE when a portion of the substrate has been exposed. The method then forms an oxide layer overlying the exposed portion of the substrate and applies a second RIE process to continue to form the trench region by removing the oxide layer and removing a portion of the substrate to a predetermined depth.
    Type: Application
    Filed: December 3, 2010
    Publication date: May 24, 2012
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: KUO-CHANG LIAO, WEIJUN SONG, DANG QUAN LIAO
  • Patent number: 8183087
    Abstract: A semiconductor device is made by providing a temporary carrier for supporting the semiconductor device. An integrated passive device (IPD) is mounted to the temporary carrier using an adhesive. The IPD includes a capacitor and a resistor and has a plurality of through-silicon vias (TSVs). A discrete component is mounted to the temporary carrier using the adhesive. The discrete component includes a capacitor. The IPD and the discrete component are encapsulated using a molding compound. A first metal layer is formed over the molding compound. The first metal layer is connected to the TSVs of the IPD and forms an inductor. The temporary carrier and the adhesive are removed, and a second metal layer is formed over the IPD and the discrete component. The second metal layer interconnects the IPD and the discrete component and forms an inductor. An optional interconnect structure is formed over the second metal layer.
    Type: Grant
    Filed: September 9, 2008
    Date of Patent: May 22, 2012
    Assignee: STATS ChipPAC, Ltd.
    Inventors: Yaojian Lin, Jianmin Fang, Kang Chen, Haijing Cao
  • Publication number: 20120118739
    Abstract: Methods and devices for sequencing nucleic acids are disclosed herein. Devices are also provided herein for measuring DNA with nano-pores sized to allow DNA to pass through the nano-pore. The capacitance can be measured for the DNA molecule passing through the nano-pore. The capacitance measurements can be correlated to determine the sequence of base pairs passing through the nano-pore to sequence the DNA.
    Type: Application
    Filed: September 29, 2011
    Publication date: May 17, 2012
    Inventors: Sameer Walavalkar, Axel Scherer, Thomas A. Tombrello, Aditya Rajagopal, Andrew P. Homyk, Erika Garcia
  • Publication number: 20120119328
    Abstract: A dielectric thin film element that includes a substrate, a close-adhesion layer formed on one principal surface of the substrate, a capacitance section having a lower electrode layer formed on the close-adhesion layer, a dielectric layer formed on the lower electrode layer, and an upper electrode layer formed on the dielectric layer, and a protective layer formed to cover the capacitance section, wherein the end of the close-adhesion layer is exposed from the protective layer.
    Type: Application
    Filed: January 19, 2012
    Publication date: May 17, 2012
    Applicant: MURATA MANUFACTURING CO., LTD.
    Inventor: Toshiyuki Nakaiso
  • Publication number: 20120119276
    Abstract: A memory device includes a mesa structure and a word line. The mesa structure, having two opposite side surfaces, includes at least one pair of source/drain regions and at least one channel base region corresponding to the pair of source/drain regions formed therein. The word line includes two linear sections and at least one interconnecting portion. Each linear section extends on the respective side surface of the mesa structure, adjacent to the channel base region. The at least one interconnecting portion penetrates through the mesa structure, connecting the two linear sections.
    Type: Application
    Filed: November 12, 2010
    Publication date: May 17, 2012
    Applicant: NANYA TECHNOLOGY CORP.
    Inventors: YING CHENG CHUANG, PING CHENG HSU, SHENG WEI YANG, MING CHENG CHANG, HUNG MING TSAI
  • Publication number: 20120119329
    Abstract: A method of manufacturing a semiconductor device includes providing a substrate having a first conductive layer disposed on a top surface of the substrate. A high resistivity layer is formed over the substrate and the first conductive layer. A dielectric layer is deposited over the substrate, first conductive layer and high resistivity layer. A portion of the dielectric layer, high resistivity layer, and first conductive layer forms a capacitor stack. A first passivation layer is formed over the dielectric layer. A second conductive layer is formed over the capacitor stack and a portion of the first passivation layer. A first opening is etched in the dielectric layer to expose a surface of the high resistivity layer. A third and fourth conductive layer is deposited over the first opening in the dielectric layer and a portion of the first passivation layer.
    Type: Application
    Filed: January 20, 2012
    Publication date: May 17, 2012
    Applicant: STATS CHIPPAC, LTD.
    Inventors: Yaojian Lin, Robert Charles Frye
  • Publication number: 20120119322
    Abstract: A plurality of light-shielding films etc. are formed on a surface of a first insulating film. Then, a dummy pattern is formed on a surface of a second insulating film between adjoining ones of the light-shielding films etc., so that a height of the dummy pattern is equal to that of the second insulating film on the light-shielding films etc., as measured from the surface of the first insulating film. Thereafter, a third insulating film covering the dummy pattern and having a flat surface is formed over the surface of the second insulating film. Subsequently, a base layer is bonded to a support substrate so that the flat surface of the third insulating film faces the support substrate. A semiconductor device is manufactured in this manner.
    Type: Application
    Filed: June 8, 2010
    Publication date: May 17, 2012
    Applicant: SHARP KABUSHIKI KAISHA
    Inventor: Kenshi Tada
  • Patent number: 8178404
    Abstract: A Metal-Insulator-Metal (MIM) capacitor structure and method of fabricating the same in an integrated circuit improve capacitance density in a MIM capacitor structure by utilizing a sidewall spacer extending along a channel defined between a pair of legs that define portions of the MIM capacitor structure. Each of the legs includes top and bottom electrodes and an insulator layer interposed therebetween, as well as a sidewall that faces the channel. The sidewall spacer incorporates a conductive layer and an insulator layer interposed between the conductive layer and the sidewall of one of the legs, and the conductive layer of the sidewall spacer is physically separated from the top electrode of the MIM capacitor structure. In addition, the bottom electrode of a MIM capacitor structure may be ammonia plasma treated prior to deposition of an insulator layer thereover to reduce oxidation of the electrode.
    Type: Grant
    Filed: October 24, 2008
    Date of Patent: May 15, 2012
    Assignee: NXP B.V.
    Inventors: Michael Olewine, Kevin Saiz
  • Publication number: 20120115303
    Abstract: Method of forming wires in integrated circuits. The methods include forming a wire in a first dielectric layer on a substrate; forming a dielectric barrier layer over the wire and the first dielectric layer; forming a second dielectric layer over the barrier layer; forming one or more patterned photoresist layers over the second dielectric layer; performing a reactive ion etch to etch a trench through the second dielectric layer and not through the barrier layer; performing a second reactive ion etch to extend the trench through the barrier layer; and after performing the second reaction ion etch, removing the one or more patterned photoresist layers, a last formed patterned photoresist layer removed using a reducing plasma or a non-oxidizing plasma. The methods include forming wires by similar methods to a metal-insulator-metal capacitor.
    Type: Application
    Filed: January 20, 2012
    Publication date: May 10, 2012
    Applicant: International Business Machines Corporation
    Inventors: Jeffrey P. Gambino, Peter J. Lindgren, Anthony K. Stamper