Of Capacitor (epo) Patents (Class 257/E21.008)
  • Patent number: 8357584
    Abstract: A metal capacitor is formed with good conductivity for both nodes of the capacitor and improved reliability. An embodiment includes a first layer of alternating first and second metal lines, a second layer of alternating third and fourth metal lines, a dielectric layer between the first and second layers, and vias in the dielectric layer connecting the first and second metal lines with the third and fourth metal lines, respectively, wherein each metal line comprises alternating first segments having a first width and second segments having a second width, the first width being greater than the second width, each first segment lying adjacent to a second segment of an adjacent metal line, and only first segments of the metal lines overlapping the vias.
    Type: Grant
    Filed: November 10, 2009
    Date of Patent: January 22, 2013
    Assignee: Globalfoundries Inc.
    Inventors: Jianhong Zhu, James F. Buller
  • Patent number: 8357583
    Abstract: A method for manufacturing a semiconductor device includes at least forming a lower electrode comprising titanium nitride on a semiconductor substrate, forming a dielectric film comprising zirconium oxide as a primary constituent on the lower electrode, forming a first protective film comprising a titanium compound on the dielectric film, and forming an upper electrode comprising titanium nitride on the first protective film. The method can include a step of forming a second protective film on the lower electrode before the step of forming the dielectric film on the lower electrode.
    Type: Grant
    Filed: September 8, 2011
    Date of Patent: January 22, 2013
    Assignee: Elpida Memory, Inc.
    Inventors: Toshiyuki Hirota, Takakazu Kiyomura
  • Publication number: 20130017662
    Abstract: A filler for filling a gap includes a compound represented by the following Chemical Formula 1. [Chemical Formula 1] SiaNbOcHd. In Chemical Formula 1, a, b, c, and d represent relative amounts of Si, N, O, and H, respectively, in the compound, 1.96<a<2.68, 1.78<b<3.21, 0?c<0.19, and 4<d<10.
    Type: Application
    Filed: July 13, 2012
    Publication date: January 17, 2013
    Inventors: Eun-Su PARK, Bong-Hwan Kim, Sang-Hak Lim, Taek-Soo Kwak, Jin-Hee Bae, Hui-Chan Yun, Sang-Kyun Kim, Jin-Wook Lee
  • Publication number: 20130015557
    Abstract: Circuit elements such as DC blocking capacitors used in communication such as a serial communication link between two or more electrical components are disposed in pre-existing openings in a support structure that supports at least one of the two electrical components. The openings may be plated and used for signal transmission from the one electrical component to a printed circuit board (PCB) supporting the substrate. The DC blocking capacitors may be oriented substantially vertically, and a non-conducting material may be disposed in each opening in the substrate such that the non-conducting material at least partially surrounds and fixes the orientation of the DC blocking capacitor disposed in the opening.
    Type: Application
    Filed: July 13, 2011
    Publication date: January 17, 2013
    Inventors: Zhiping Yang, Jie Xue, Jovica Savic, Li Li
  • Publication number: 20130011994
    Abstract: A manufacturing method of a semiconductor device includes the following steps. Firstly, a lower electrode is formed over a substrate (semiconductor substrate). Successively, the lower electrode is primarily crystallized. Successively, a capacitance dielectric layer is formed over the lower electrode after primarily crystallized. Successively, the capacitance dielectric layer is secondarily crystallized. Then, an upper electrode is formed over the capacitance dielectric layer.
    Type: Application
    Filed: July 6, 2012
    Publication date: January 10, 2013
    Inventors: Misato Sakamoto, Youichi Yamamoto, Masayuki Tachikawa, Yoshitake Kato
  • Publication number: 20130011987
    Abstract: A method for fabricating a semiconductor device includes forming a plurality of pillars by etching a semiconductor substrate, forming a conductive layer over a semiconductor substrate structure including the pillars, forming preliminary gates on sidewalls of each pillar by performing a first etch process on the conductive layer, and forming vertical gates by performing a second etch process on upper portions of the preliminary gates.
    Type: Application
    Filed: November 14, 2011
    Publication date: January 10, 2013
    Inventor: Jung-Hee PARK
  • Publication number: 20130011990
    Abstract: There is disclosed a method of forming crystalline tantalum pentoxide on a ruthenium-containing material having an oxygen-containing surface wherein the oxygen-containing surface is contacted with a treating composition, such as water, to remove at least some oxygen. Crystalline tantalum pentoxide is formed on at least a portion of the surface having reduced oxygen content.
    Type: Application
    Filed: September 13, 2012
    Publication date: January 10, 2013
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Vishwanath Bhat, Rishikesh Krishnan, Daniel Gealy
  • Publication number: 20130011988
    Abstract: A semiconductor device has memory cell portions and compensation capacitance portions on a single substrate. The memory cell portion and the compensation capacitance portion have mutually different planar surface areas. The memory cell portion and the compensation capacitance portion include capacitance plate electrodes of the same structure. The capacitance plate electrode has a laminated structure including a boron-doped silicon germanium film and a metal film.
    Type: Application
    Filed: June 26, 2012
    Publication date: January 10, 2013
    Applicant: Elpida Memory, Inc.
    Inventor: Nobuyuki SAKO
  • Patent number: 8349696
    Abstract: A bilayer second electrode for a MIM DRAM capacitor is formed wherein the layer of the electrode that is in contact with the dielectric layer (i.e. bottom layer) has a composition that is resistant to oxidation during subsequent anneal steps and have rutile templating capability. Examples include SnO2 and RuO2. The capacitor stack including the bottom layer is subjected to a PMA treatment to reduce the oxygen vacancies in the dielectric layer and reduce the interface states at the dielectric/second electrode interface. The other component of the bilayer (i.e. top layer) is a high work function, high conductivity metal or conductive metal compound.
    Type: Grant
    Filed: August 1, 2011
    Date of Patent: January 8, 2013
    Assignee: Intermolecular, Inc.
    Inventors: Hanhong Chen, Hiroyuki Ode
  • Patent number: 8349683
    Abstract: The present invention relates to a method for producing a capacitor comprising, as one electrode, an electric conductor having formed on the surface thereof a dielectric layer and, as the other electrode, a semiconductor layer formed on the electric conductor by energization using the electric conductor as the anode, wherein fine protrusions are formed on the dielectric layer before energization; a capacitor produced by the method thereof having a good capacitance appearance factor and a low ESR; and an electronic circuit and an electronic device using the capacitor.
    Type: Grant
    Filed: September 24, 2004
    Date of Patent: January 8, 2013
    Assignee: Showa Denko K.K.
    Inventor: Kazumi Naito
  • Publication number: 20130005110
    Abstract: Provided is a method of manufacturing a semiconductor device having a capacitor. The method includes forming a composite layer, including sequentially stacking on a substrate alternating layers of first through nth sacrificial layers and first through nth supporting layers. A plurality of openings that penetrate the composite layer are formed. A lower electrode is formed in the plurality of openings. At least portions of the first through nth sacrificial layers are removed to define a support structure for the lower electrode extending between adjacent ones of the plurality of openings and the lower electrode formed therein, the support structure including the first through nth supporting layers and a gap region between adjacent ones of the first through nth supporting layers where the first through nth sacrificial layers have been removed. A dielectric layer is formed on the lower electrode and an upper electrode is formed on the dielectric layer.
    Type: Application
    Filed: May 23, 2012
    Publication date: January 3, 2013
    Inventors: Jun-Ho Yoon, Bong-Jin Kuh, Ki-Chul Kim, Gyung-Jin Min, Tae-Jin Park, Sang-Ryol Yang, Jung-Min Oh, Sang-Yoon Woo, Young-Sub Yoo, Ji-Eun Lee, Jong-Sung Lim, Yong-Moon Jang, Han-Mei Choi, Je-Woo Han
  • Publication number: 20130001746
    Abstract: An electronic die includes a multi-finger capacitor including a first electrically conductive plate including a plurality of first metal fingers joined together by a first metal base, and a second electrically conductive plate including a plurality of second metal fingers joined together by a second metal base. A dielectric layer is between the first electrically conductive plate and the second electrically conductive plate for electrically isolation. The plurality of first metal fingers and plurality of second metal fingers are interleaved with one another. The die can include a first portion that includes the multi-finger capacitor and a second portion that includes active circuitry configured to provide at least one circuit function, wherein the first and second electrically conductive plates are coupled to the active circuitry.
    Type: Application
    Filed: July 1, 2011
    Publication date: January 3, 2013
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: DARVIN RENNE EDWARDS
  • Publication number: 20130005111
    Abstract: Some embodiments include methods of making stud-type capacitors utilizing carbon-containing support material. Openings may be formed through the carbon-containing support material to electrical nodes, and subsequently conductive material may be grown within the openings. The carbon-containing support material may then be removed, and the conductive material utilized as stud-type storage nodes of stud-type capacitors. The stud-type capacitors may be incorporated into DRAM, and the DRAM may be utilized in electronic systems.
    Type: Application
    Filed: September 13, 2012
    Publication date: January 3, 2013
    Applicant: Micron Technology, Inc.
    Inventors: Mark Kiehlbauch, Kevin R. Shea
  • Patent number: 8344435
    Abstract: To realize a semiconductor memory device whose capacitance value per unit area in a memory cell is increased without increase in the area of the memory cell. The memory cell includes a transistor, a memory element, a first capacitor, and a second capacitor. The first capacitor includes a semiconductor film, a gate insulating film, and a gate electrode which are included in the transistor and is formed at the same time as the transistor. The second capacitor includes an electrode which is included in the memory element and an insulating film and an electrode which are formed over the electrode. Further, the second capacitor is formed over the first capacitor. In this manner, the first capacitor and the second capacitor which are connected in parallel with the memory element are formed.
    Type: Grant
    Filed: September 14, 2009
    Date of Patent: January 1, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Toshihiko Saito
  • Publication number: 20120329219
    Abstract: A method of forming and structure for through wafer vias and signal transmission lines formed of through wafer vias. The method of forming through wafer vias includes forming an array of through wafer vias comprising at least one electrically conductive through wafer via and at least one electrically non-conductive through wafer via through a semiconductor substrate having a top surface and an opposite bottom surface, each through wafer via of the array of through wafer vias extending from the top surface of the substrate to the bottom surface of the substrate.
    Type: Application
    Filed: September 6, 2012
    Publication date: December 27, 2012
    Applicant: International Business Machines Corporation
    Inventors: Hanyi Ding, Alvin Jose Joseph, Anthony Kendall Stamper
  • Publication number: 20120322222
    Abstract: A method for improving capacitance uniformity in a MIM device, mainly for the purpose of improving uniformity of a thin film within the MIM device, includes eight steps in order and step S2-step S6 may be repeated for several times as needed. According to the method for improving capacitance uniformity in a MIM device of the present invention, a certain quantity of defects in the thin film are removed by means of several times of deposition/plasma processes based on the current PECVD, and uniformity of the deposited thin film is increased, thereby improving uniformity in wet etching rate of the thin film and further improving capacitance uniformity in the MIM device.
    Type: Application
    Filed: December 29, 2011
    Publication date: December 20, 2012
    Applicant: SHANGHAI HUALI MICROELECTRONICS CORPORATION
    Inventors: Qiang XU, Wenguang ZHANG, Chunsheng ZHENG, Yuwen CHEN
  • Publication number: 20120319239
    Abstract: A semiconductor structure includes a through-substrate-via (TSV) structure disposed in a substrate. A first etch stop layer is disposed over the TSV structure. A first dielectric layer is disposed in contact with the first etch stop layer. A first conductive structure is disposed through the first etch stop layer and the first dielectric layer. The first conductive structure is electrically coupled with the TSV structure. The TSV structure is substantially wider than the first conductive structure. A second etch stop layer is disposed in contact with the first dielectric layer. A metal-insulator-metal (MIM) capacitor structure is disposed in contact with the second etch stop layer.
    Type: Application
    Filed: June 15, 2011
    Publication date: December 20, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chun Hua CHANG, Sung-Hui HUANG, Der-Chyang YEH
  • Publication number: 20120309160
    Abstract: A method for fabricating a dynamic random access memory (DRAM) capacitor stack is disclosed wherein the stack includes a first electrode, a dielectric layer, and a second electrode. The first electrode is formed from a conductive binary metal. A dielectric layer is formed over the first electrode. The dielectric layer is subjected to a milliseconds anneal process that serves to crystallize the dielectric material and decrease the concentration of oxygen vacancies.
    Type: Application
    Filed: June 6, 2011
    Publication date: December 6, 2012
    Applicants: ELPIDA MEMORY, INC., INTERMOLECULAR, INC.
    Inventors: Karthik Ramini, Hiroyuki Ode, Sandra Malhotra
  • Publication number: 20120305998
    Abstract: In a vertical dynamic memory cell, monocrystalline semiconductor material of improved quality is provided for the channel of an access transistor by lateral epitaxial growth over an insulator material (which complements the capacitor dielectric in completely surrounding the storage node except at a contact connection structure, preferably of metal, from the access transistor to the storage node electrode) and etching away a region of the lateral epitaxial growth including a location where crystal lattice dislocations are most likely to occur; both of which features serve to reduce or avoid leakage of charge from the storage node. An isolation structure can be provided in the etched region such that space is provided for connections to various portions of a memory cell array.
    Type: Application
    Filed: May 31, 2011
    Publication date: December 6, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Roger A. Booth, JR., Kangguo Cheng, Joseph Ervin, David M. Fried, Byeong Kim, Chengwen Pei, Ravi M. Todi, Geng Wang
  • Publication number: 20120309162
    Abstract: A method for fabricating a dynamic random access memory (DRAM) capacitor includes forming a first electrode layer, forming a catalytic layer on the first electrode layer, optionally annealing the catalytic layer, forming a dielectric layer on the catalytic layer, optionally annealing the dielectric layer, forming a second electrode layer on the dielectric layer, and optionally annealing the capacitor stack. Advantageously, the electrode layers are TiN, the catalytic layer is MoO2?x where x is between 0 and 2, and the physical thickness of the catalytic layer is between about 0.5 nm and about 10 nm, and the dielectric layer is ZrO2.
    Type: Application
    Filed: June 6, 2011
    Publication date: December 6, 2012
    Applicants: Elpida Memory, Inc., Intermolecular, Inc.
    Inventors: Hanhong Chen, Sandra Malhotra, Hiroyuki Ode, Xiangxin Rui
  • Publication number: 20120309164
    Abstract: A method including forming an insulation layer over a semiconductor substrate; burying a first conduction layer containing Cu in the insulation layer in a first region and burying an interconnection containing Cu in the insulation layer in a second region; forming a barrier film of a conductive material; forming a dielectric film over the barrier metal film; forming a second conduction layer over the dielectric film; patterning the second conduction layer to form an upper electrode formed of the second conduction layer in the first region; and patterning the dielectric film and the barrier metal film to cover an upper surface of the first conduction layer by the first barrier film formed of the barrier metal film, form a lower electrode including the first conduction layer and the first barrier film, and covering an upper surface of the interconnection by the second barrier film formed of the barrier metal film.
    Type: Application
    Filed: August 3, 2012
    Publication date: December 6, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Narumi Ohkawa
  • Patent number: 8324066
    Abstract: A method for manufacturing a semiconductor device includes fabricating an active layer on a first side of a semiconductor substrate. The method also includes fabricating a metal layer on a second side of the semiconductor substrate. The metal layer includes a passive device embedded within the metal layer. The passive device can electrically couple to the active layer with through vias.
    Type: Grant
    Filed: October 24, 2011
    Date of Patent: December 4, 2012
    Assignee: QUALCOMM Incorporated
    Inventors: Jonghae Kim, Shiqun Gu, Brian Matthew Henderson, Thomas R. Toms, Matthew Nowak
  • Patent number: 8324069
    Abstract: A method of fabricating a high-performance capacitor that may be incorporated into a standard CMOS fabrication process suitable for submicron devices is described. The parameters used in the standard CMOS process may be maintained, particularly for the definition and etch of the lower electrode layer. To reduce variation in critical dimension width, an Anti-Reflective Layer (ARL) is used, such as a Plasma Enhanced chemical vapor deposition Anti-Reflective Layer (PEARL) or other Anti-Reflective Coatings (ARCS), such as a conductive film like TiN. This ARL formation occurs after the capacitor specific process steps, but prior to the masking used for defining the lower electrodes. A Rapid Thermal Oxidation (RTO) is performed subsequent to removing the unwanted capacitor dielectric layer from the transistor poly outside of the capacitor regions, but prior to the PEARL deposition.
    Type: Grant
    Filed: May 31, 2011
    Date of Patent: December 4, 2012
    Assignee: IXYS CH GmbH
    Inventors: Timothy K. Carns, John L. Horvath, Lee J. DeBruler, Michael J. Westphal
  • Patent number: 8323995
    Abstract: Some embodiments include methods of forming diodes. The methods may include oxidation of an upper surface of a conductive electrode to form an oxide layer over the conductive electrode. In some embodiments, the methods may include formation of an oxidizable material over a conductive electrode, and subsequent oxidation of the oxidizable material to form an oxide layer over the conductive electrode. In some embodiments, the methods may include formation of a metal halide layer over a conductive electrode. Some embodiments include diodes that contain a metal halide layer between a pair of diode electrodes.
    Type: Grant
    Filed: April 26, 2011
    Date of Patent: December 4, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Bhaskar Srinivasan
  • Publication number: 20120302031
    Abstract: The present invention relates to a plasma etching method and apparatus for preparing high-aspect-ratio structures. The method includes the steps of placing the substrate into a plasma etching apparatus, wherein the plasma etching apparatus includes an upper electrode plate and a lower electrode plate; continuously supplying an upper source RF power and a DC power to the upper electrode plate; and discontinuously supplying a bias RF power to the lower electrode plate. When the bias RF power is switched to the off state, a large amount of secondary electrons pass through the bulk plasma and reach the substrate to neutralize the positive ions during the duration time of the off state (Toff).
    Type: Application
    Filed: May 23, 2011
    Publication date: November 29, 2012
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: Chang Ming Wu, Yi Nan Chen, Hsien Wen Liu
  • Publication number: 20120302030
    Abstract: A method of fabricating a deep trench capacitor includes the steps as follows. Firstly, a substrate having a trench therein is provided. Then, a bottom electrode is formed in the substrate around the trench. Later, a capacitor dielectric layer is formed to surround an inner sidewall of the trench. After that, a first conductive layer is form to fill up the trench. Subsequently, a material layer is formed on the substrate. Later, a hole is formed in the material layer, wherein the hole is directly above the trench. Finally, a second conductive layer is form to fill in the hole.
    Type: Application
    Filed: May 29, 2011
    Publication date: November 29, 2012
    Inventors: Hsiu-Chun Lee, Yi-Nan Chen, Hsien-Wen Liu
  • Publication number: 20120299153
    Abstract: The present invention discloses a stack capacitor structure and method of making the same. The top plate of the stack capacitor structure is connected to each other through a connecting node. The method of forming the stack capacitor structure includes providing an insulating substrate with a doped insulating material layer disposed therein. Then, the insulating substrate is patterned to form a trench, wherein an inner sidewall of the trench has a first region and a second region and the doped insulating material layer within the second region is entirely removed to form a hole. Later, a top plate is formed to surround the inner sidewall of the trench, and the top plate fills in the hole. Next, a capacitor dielectric layer is formed to surround the top plate. Finally, a storage node is formed to fill up the trench.
    Type: Application
    Filed: May 25, 2011
    Publication date: November 29, 2012
    Inventor: Shih-Fan Kuan
  • Patent number: 8318577
    Abstract: Forming a capacitor structure includes forming a first dielectric layer over a conductive region, wherein the first dielectric layer has a first conductive layer at a top surface of the first dielectric layer; forming a first opening in the first dielectric layer over the conductive region, wherein the first opening exposes a first sidewall of the first conductive layer; forming a second conductive layer within the first opening, wherein the second conductive layer contacts the first sidewall of the first conductive layer; removing a portion of the second conductive layer from the bottom of the first opening; forming an insulating layer within the first opening; removing a portion of the insulating layer from the bottom of the first opening; extending the first opening through the first dielectric layer to expose the conductive region; and filling the first opening with a conductive material, wherein the conductive material contacts the conductive region.
    Type: Grant
    Filed: April 28, 2011
    Date of Patent: November 27, 2012
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Mark D. Hall, Mehul D. Shroff
  • Publication number: 20120292678
    Abstract: A method of forming a field effect transistor (FET) capacitor includes forming a channel region; forming a gate stack over the channel region; forming a first extension region on a first side of the gate stack, the first extension region being formed by implanting a first doping material at a first angle such that a shadow region exists on a second side of the gate stack; and forming a second extension region on the second side of the gate stack, the second extension region being formed by implanting a second doping material at a second angle such that a shadow region exists on the first side of the gate stack.
    Type: Application
    Filed: August 2, 2012
    Publication date: November 22, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Leland Chang, Chung-Hsun Lin, Brian L. Ji, Jeffrey W. Sleight
  • Patent number: 8314452
    Abstract: Structures and methods of forming an ideal MIM capacitor are disclosed. The single capacitor includes a first and a second metal structure overlying a substrate, a first dielectric material disposed between a first portion of the first metal structure and a first portion of the second metal structure. A second dielectric material is disposed between a second portion of the first metal structure and a second portion of the second metal structure. No first dielectric material is disposed between the second portion of the first and second metal structures, and no second dielectric material is disposed between the first portion of the first and second metal structures. The first and second dielectric material layers include materials with opposite coefficient of capacitance.
    Type: Grant
    Filed: December 22, 2011
    Date of Patent: November 20, 2012
    Assignee: Infineon Technologies AG
    Inventors: Philipp Riess, Armin Fischer
  • Patent number: 8314004
    Abstract: Provided is a semiconductor device manufacturing method for a capacitor having a dielectric film which can be formed into a thin film, can be formed at a low temperature, and has a readily controllable property. The manufacturing method includes: forming, on a conductor for serving as one electrode of a capacitor, a manganese oxide film for serving as a dielectric film of the capacitor; and forming, on the manganese oxide film, a conductive film for serving as the other electrode of the capacitor.
    Type: Grant
    Filed: January 24, 2012
    Date of Patent: November 20, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Kenji Matsumoto, Hitoshi Itoh, Hiroshi Sato
  • Publication number: 20120289022
    Abstract: A method of forming a capacitor includes providing material having an opening therein over a node location on a substrate. A shield is provided within and across the opening, with a void being received within the opening above the shield and a void being received within the opening below the shield. The shield is etched through within the opening. After the etching, a first capacitor electrode is formed within the opening in electrical connection with the node location.
    Type: Application
    Filed: July 23, 2012
    Publication date: November 15, 2012
    Applicant: Micron Technology, Inc.
    Inventors: Mark Kiehlbauch, Kevin Shea
  • Publication number: 20120288965
    Abstract: Stable contact hole forming is attained even when an aluminum oxide film is present between layers provided with contact holes. The process comprises the steps of forming a first element layer on a semiconductor substrate; forming a first interlayer insulating film on the first element layer; forming a second element layer on the first interlayer insulating film; forming a second interlayer insulating film on the second element layer; forming a hole resist pattern on the second interlayer insulating film; conducting a first etching for forming of holes by etching the second interlayer insulating film; and conducting a second etching for extending of holes to the first element layer by etching the first interlayer insulating film.
    Type: Application
    Filed: July 25, 2012
    Publication date: November 15, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Yukimasa Miyazaki, Kouichi Nagai, Hideaki Kikuchi
  • Publication number: 20120289021
    Abstract: The present disclosure provides a semiconductor device that includes a semiconductor substrate, an isolation structure formed in the semiconductor substrate, a conductive layer formed over the isolation structure, and a metal-insulator-metal (MIM) capacitor formed over the isolation structure. The MIM capacitor has a crown shape that includes a top electrode, a first bottom electrode, and a dielectric disposed between the top electrode and the first bottom electrode, the first bottom electrode extending at least to a top surface of the conductive layer.
    Type: Application
    Filed: July 23, 2012
    Publication date: November 15, 2012
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kuo-Cheng Ching, Kuo-Chi Tu, Chun-Yao Chen
  • Publication number: 20120286392
    Abstract: Dopants of a first conductivity type are implanted into a top portion of a semiconductor substrate having a doping of the first conductivity type to increase the dopant concentration in the top portion, which is a first-conductivity-type semiconductor layer. A semiconductor material layer having a doping of the second conductivity type, a buried insulator layer, and a top semiconductor layer are formed thereupon. Deep trenches having a narrow width have a bottom surface within the second-conductivity-type semiconductor layer, which functions as a buried plate. Deep trenches having a wider width are etched into the first-conductivity-type layer underneath, and can be used to form an isolation structure. The additional dopants in the first-conductivity-type semiconductor layer provide a counterdoping against downward diffusion of dopants of the second conductivity type to enhance electrical isolation.
    Type: Application
    Filed: May 12, 2011
    Publication date: November 15, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Chengwen Pei, Geng Wang
  • Publication number: 20120286395
    Abstract: Embodiments of the present invention describe a semiconductor device having an embedded capacitor device and methods of fabricating the capacitor device. The capacitor device is formed between the passivation layers above the backend interconnect stack of a substrate. Fabricating the capacitor device between the passivation layers above the backend interconnect stack minimizes any adverse effects the capacitor device might cause to the backend interconnect stack.
    Type: Application
    Filed: July 23, 2012
    Publication date: November 15, 2012
    Inventor: Kevin John Fischer
  • Patent number: 8310024
    Abstract: The chip comprises a network of trench capacitors and an inductor, wherein the trench capacitors are coupled in parallel with a pattern of interconnects that is designed so as to limit generation of eddy current induced by the inductor in the interconnects. This allows the use of the chip as a portion of a DC-DC converter, that is integrated in an assembly of a first chip and this—second chip. The inductor of this integrated DC-DC converter may be defined elsewhere within the assembly.
    Type: Grant
    Filed: May 14, 2007
    Date of Patent: November 13, 2012
    Assignee: NXP B.V.
    Inventors: Derk Reefman, Freddy Roozeboom, Johan H. Klootwijk
  • Publication number: 20120282750
    Abstract: A semiconductor device containing a cylindrical shaped capacitor and a method for manufacturing the same is presented. The semiconductor device includes a plurality of storage nodes and a support pattern. The plurality of storage nodes is formed over a semiconductor substrate. The support pattern is fixed to adjacent storage nodes in which the support pattern has a flowable insulation layer buried within the support pattern. The buried flowable insulation layer direct contacts adjacent storage nodes.
    Type: Application
    Filed: July 19, 2012
    Publication date: November 8, 2012
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Sung Min PARK
  • Publication number: 20120280358
    Abstract: An integrated circuit includes a substrate and a first metal-insulator-metal (MIM) capacitor disposed over the substrate. The MIM capacitor includes a first metallic capacitor plate disposed over the substrate. At least one first insulator layer is disposed over the first metallic capacitor plate. A second metallic capacitor plate is disposed over the at least one first insulator layer. At least one first dielectric layer is disposed over the substrate. At least a portion of the at least one first dielectric layer is disposed between the first metallic capacitor plate and the at least one first insulator layer.
    Type: Application
    Filed: May 5, 2011
    Publication date: November 8, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Sung-Hui HUANG, Yuan-Hung LIU, Ming-Fa CHEN
  • Publication number: 20120282754
    Abstract: Capacitors and methods of forming capacitors are disclosed, and which include an inner conductive metal capacitor electrode and an outer conductive metal capacitor electrode. A capacitor dielectric region is received between the inner and the outer conductive metal capacitor electrodes and has a thickness no greater than 150 Angstroms. Various combinations of materials of thicknesses and relationships relative one another are disclosed which enables and results in the dielectric region having a dielectric constant k of at least 35 yet leakage current no greater than 1×10?7 amps/cm2 at from ?1.1V to +1.1V.
    Type: Application
    Filed: July 16, 2012
    Publication date: November 8, 2012
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Rishikesh Krishnan, John Smythe, Vishwanath Bhat, Noel Rocklein, Bhaskar Srinivasan, Jeff Hull, Chris Carlson
  • Publication number: 20120276705
    Abstract: Forming a capacitor structure includes forming a first dielectric layer over a conductive region, wherein the first dielectric layer has a first conductive layer at a top surface of the first dielectric layer; forming a first opening in the first dielectric layer over the conductive region, wherein the first opening exposes a first sidewall of the first conductive layer; forming a second conductive layer within the first opening, wherein the second conductive layer contacts the first sidewall of the first conductive layer; removing a portion of the second conductive layer from the bottom of the first opening; forming an insulating layer within the first opening; removing a portion of the insulating layer from the bottom of the first opening; extending the first opening through the first dielectric layer to expose the conductive region; and filling the first opening with a conductive material, wherein the conductive material contacts the conductive region.
    Type: Application
    Filed: April 28, 2011
    Publication date: November 1, 2012
    Inventors: Mark D. HALL, Mehul D. SHROFF
  • Publication number: 20120273922
    Abstract: An amorphous carbon film and an interlayer insulation film are formed in a memory cell region and a peripheral circuit region, respectively. An insulating film is formed on the amorphous carbon film and the interlayer insulation film. A portion of the insulating film that corresponds to capacitors on the amorphous carbon film is removed so that lower electrodes of the capacitors are supported from opposite sides of the lower electrodes. An insulating film pattern continuously extends from the memory cell region to the peripheral circuit region wholly covered with the insulating film pattern. Subsequently, the amorphous carbon film is removed to leave the capacitors supported by the insulating film pattern on both sides of the lower electrodes.
    Type: Application
    Filed: April 25, 2012
    Publication date: November 1, 2012
    Applicant: ELPIDA MEMORY, INC.
    Inventor: YASUHIKO UEDA
  • Patent number: 8298891
    Abstract: A resistive-switching memory element is described. The memory element includes a first electrode, a porous layer over the first electrode including a point defect embedded in a plurality of pores of the porous layer, and a second electrode over the porous layer, wherein the nonvolatile memory element is configured to switch between a high resistive state and a low resistive state.
    Type: Grant
    Filed: October 21, 2009
    Date of Patent: October 30, 2012
    Assignee: Intermolecular, Inc.
    Inventors: Tony Chiang, Prashant Phatak, Chi-I Lang
  • Patent number: 8298906
    Abstract: A trench decoupling capacitor is formed using RIE lag of a through silicon via (TSV) etch. A method includes etching a via trench and a capacitor trench in a wafer in a single RIE process. The via trench has a first depth and the capacitor trench has a second depth less than the first depth due to RIE lag.
    Type: Grant
    Filed: July 29, 2009
    Date of Patent: October 30, 2012
    Assignee: International Business Machines Corporation
    Inventors: Kerry Bernstein, Francis Roger White
  • Patent number: 8298909
    Abstract: A capacitor includes a lower electrode, a dielectric layer, an upper electrode, and a ruthenium oxide layer. At least one of the lower electrode and the upper electrode is formed of a ruthenium layer, and the ruthenium oxide layer is disposed next to the ruthenium layer.
    Type: Grant
    Filed: December 27, 2007
    Date of Patent: October 30, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventors: Kwan-Woo Do, Jae-Sung Roh, Kee-Jeung Lee, Deok-Sin Kil, Young-Dae Kim, Jin-Hyock Kim, Kyung-Woong Park, Han-Sang Song
  • Patent number: 8298908
    Abstract: A structure and method for forming isolation and a buried plate for a trench capacitor is disclosed. Embodiments of the structure comprise an epitaxial layer serving as the buried plate, and a bounded deep trench isolation area serving to isolate one or more deep trench structures. Embodiments of the method comprise angular implanting of the deep trench isolation area to form a P region at the base of the deep trench isolation area that serves as an anti-punch through implant.
    Type: Grant
    Filed: February 11, 2010
    Date of Patent: October 30, 2012
    Assignee: International Business Machines Corporation
    Inventors: Abhishek Dube, Subramanian S. Iyer, Babar Ali Khan, Oh-jung Kwon, Junedong Lee, Paul C. Parries, Chengwen Pei, Gerd Pfeiffer, Ravi M. Todi, Geng Wang
  • Publication number: 20120267759
    Abstract: A semiconductor process and apparatus provide a shallow trench isolation capacitor structure that is integrated in an integrated circuit and includes a bottom capacitor plate that is formed in a substrate layer below a trench opening, a capacitor dielectric layer and a recessed top capacitor plate that is covered by an STI region and isolated from cross talk by a sidewall dielectric layer.
    Type: Application
    Filed: April 21, 2011
    Publication date: October 25, 2012
    Inventors: Mehul D. Shroff, Mark D. Hall
  • Publication number: 20120267758
    Abstract: A semiconductor process and apparatus provide a shallow trench isolation capacitor structure that is integrated in an integrated circuit and includes a bottom capacitor plate that is formed in a substrate layer (10) below a trench opening, a capacitor dielectric layer (22) and a recessed top capacitor plate (28) that is covered by an STI region (30) and isolated from cross talk by a sidewall dielectric layer (23).
    Type: Application
    Filed: April 21, 2011
    Publication date: October 25, 2012
    Inventors: Mehul D. Shroff, Mark D. Hall
  • Patent number: 8294240
    Abstract: A semiconductor die, having a substrate, includes a through silicon via. The through silicon via includes a decoupling capacitor having a first co-axial conductor, a second co-axial conductor, and a co-axial dielectric separating the first co-axial conductor from the second co-axial conductor. The decoupling capacitor is configured to provide local charge storage for components on the semiconductor die.
    Type: Grant
    Filed: June 8, 2009
    Date of Patent: October 23, 2012
    Assignee: QUALCOMM Incorporated
    Inventors: Matthew Michael Nowak, Shiqun Gu
  • Publication number: 20120261787
    Abstract: Passive devices fabricated on glass substrates, methods of manufacture and design structures are provided. The method includes forming an opaque or semi-opaque layer on at least a first side of a glass substrate. The method further includes forming one or more passive devices on the opaque or semi-opaque layer on a second side of the glass substrate.
    Type: Application
    Filed: April 13, 2011
    Publication date: October 18, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Anthony K. STAMPER