Characterized By Treatment Of Photoresist Layer (epo) Patents (Class 257/E21.026)
  • Patent number: 7670761
    Abstract: In a resist reflow measurement key, and method of fabricating a fine pattern of a semiconductor device using the same, the resist reflow measurement key includes a first reflow key including a plurality of first pattern elements each having a first pattern with a first radius of curvature located on a first side of a first center line and a second pattern with a second radius of curvature located on a second side of the first center line, and a second reflow key including a plurality of second pattern elements each having a third pattern with a third radius of curvature located on a first side of a second center line and a fourth pattern with a fourth radius of curvature located on a second side of the second center line, the second reflow key being formed on a same plane of a substrate as the first reflow key.
    Type: Grant
    Filed: July 17, 2008
    Date of Patent: March 2, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Doo-youl Lee, Gi-sung Yeo, Han-ku Cho, Jung-hyeon Lee
  • Patent number: 7655568
    Abstract: Disclosed herein is a method for manufacturing a semiconductor device that includes performing an O2 plasma treatment step after forming a Si-containing photoresist film.
    Type: Grant
    Filed: August 29, 2006
    Date of Patent: February 2, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sung Koo Lee, Jae Chang Jung
  • Patent number: 7638373
    Abstract: According to a method of manufacturing a thin-film transistor (TFT) substrate, a gate insulation layer, a semiconductor layer, an ohmic contact layer, and a data metal layer are sequentially formed on a substrate. A photoresist pattern is formed in a source electrode area and a drain electrode area. A data metal layer is etched using the photoresist pattern as an etch-stop layer to form a data wire including a source electrode and a drain electrode. A photoresist pattern is reflowed to cover a channel region between a source electrode and the drain electrode. An ohmic contact layer and the semiconductor layer are etched using the reflowed photoresist pattern as an etch-stop layer to form an active pattern including an ohmic contact pattern and a semiconductor pattern. The reflowed photoresist pattern is etched back to expose a portion of the ohmic contact pattern in the channel region. The ohmic contact pattern is etched using the etched-back photoresist pattern as an etch-stop layer.
    Type: Grant
    Filed: January 17, 2008
    Date of Patent: December 29, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seong-Kweon Heo, Chun-Gi You
  • Patent number: 7629595
    Abstract: A method for forming a fine photoresist pattern of a semiconductor device, the method comprising the steps of forming a chemically amplified photoresist film over an underlying layer formed over a semiconductor substrate to form a first photoresist pattern; exposing the first photoresist pattern without exposure mask to bake the resulting structure; and flowing the photoresist of the first photoresist pattern to obtain a second photoresist pattern.
    Type: Grant
    Filed: December 12, 2006
    Date of Patent: December 8, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jae Chang Jung, Chang Moon Lim
  • Patent number: 7598176
    Abstract: A plasma processing operation uses a gas mixture of N2 and H2 to both remove a photoresist film and treat a low-k dielectric material. The plasma processing operation prevents degradation of the low-k material by forming a protective layer on the low-k dielectric material. Carbon from the photoresist layer is activated and caused to complex with the low-k dielectric, maintaining a suitably high carbon content and a suitably low dielectric constant. The plasma processing operation uses a gas mixture with H2 constituting at least 10%, by volume, of the gas mixture.
    Type: Grant
    Filed: September 23, 2004
    Date of Patent: October 6, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co. Ltd.
    Inventors: Jang-Shiang Tsai, Yi-Nien Su, Chung-Chi Ko, Jyu-Horng Shieh, Peng-Fu Hsu, Hun-Jan Tao
  • Publication number: 20090246958
    Abstract: The present invention relates to a method for removing residues from open areas of a patterned substrate involving the steps of providing a layer of a developable anti-reflective coating (DBARC) over a substrate; providing a layer of a photoresist over said DBARC layer; pattern-wise exposing said photoresist layer and said DBARC layer to a radiation; developing said photoresist layer and said DBARC layer with a first developer to form patterned structures in said photoresist and DBARC layers; depositing a layer of a developer soluble material over said patterned structures; and removing said developer soluble material with a second developer.
    Type: Application
    Filed: March 26, 2008
    Publication date: October 1, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Sean D. Burns, Matthew E. Colburn, Steven J. Holmes
  • Publication number: 20090239382
    Abstract: Methods for circuit material processing are provided. In at least one such method, a substrate is provided with a plurality of overlying spacers. The spacers have substantially straight inner sidewalls and curved outer sidewalls. An augmentation material is formed on the plurality of spacers such that the inner or the outer sidewalls of the spacers are selectively expanded. The augmentation material can bridge the upper portions of pairs of neighboring inner sidewalls to limit deposition between the inner sidewalls. The augmentation material is selectively etched to form a pattern of augmented spacers having a desired augmentation of the inner or outer sidewalls. The pattern of augmented spacers can then be transferred to the substrate through a series of selective etches such that features formed in the substrate achieve a desired pitch.
    Type: Application
    Filed: March 21, 2008
    Publication date: September 24, 2009
    Applicant: Micron Technology, Inc.
    Inventor: Hongbin Zhu
  • Patent number: 7589375
    Abstract: A non-volatile memory device includes a semiconductor substrate including a cell array region and a peripheral circuit region. A first cell unit is on the semiconductor substrate in the cell array region, and a cell insulating layer is on the first cell unit. A first active body layer is in the cell insulating layer and over the first cell unit, and a second cell unit is on the first active body layer. The device further includes a peripheral transistor on the semiconductor substrate in the peripheral circuit region. The peripheral transistor has a gate pattern and source/drain regions, and a metal silicide layer is on the gate pattern and/or on the source/drain regions of the peripheral transistor. A peripheral insulating layer is on the metal silicide layer and the peripheral transistor, and an etching protection layer is between the cell insulating layer and the peripheral insulating layer and between the metal silicide layer and the peripheral insulating layer.
    Type: Grant
    Filed: December 20, 2006
    Date of Patent: September 15, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-Hoon Jang, Soon-Moon Jung, Jong-Hyuk Kim, Young-Seop Rah, Han-Byung Park
  • Publication number: 20090227058
    Abstract: A photoresist composition includes; a novolac resin prepared from a phenol compound, wherein the m-cresol constitutes about 70% to about 85% by weight of the weight of the phenol compound, a diazide compound, and an organic solvent.
    Type: Application
    Filed: December 31, 2008
    Publication date: September 10, 2009
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jeong-Min PARK, Doo-Hee JUNG, Hi-Kuk LEE, Byung-Uk KIM, Dong-Min KIM
  • Patent number: 7585781
    Abstract: A thin film of organic resin material (17), such as novolac, is used as an etch mask and openings (32) are formed in the mask in a predetermined pattern to allow processing in selected areas defined by the openings. The openings (32) are formed by applying a pattern of droplets (76) of caustic etchant, such as sodium hydroxide (NaOH) or potassium hydroxide (KOH) in the areas where the openings are to be formed. The droplets (76) are applied using a inkjet printer (90) which is scanned over the surface of the organic resin as the droplets are applied. The droplets (76) are of a size which defines the dimension of the openings (32) and allows the organic resin (17) under the droplet (76) to be completely removed. After the etchant has etched through the organic resin to expose an underlying surface (12), the etchant is washed from the organic resin and the openings (32).
    Type: Grant
    Filed: September 9, 2004
    Date of Patent: September 8, 2009
    Assignee: CSG Solar AG
    Inventors: Trevor Lindsay Young, Patrick Lasswell
  • Patent number: 7575998
    Abstract: Embodiments relate to a method for forming a wiring in a semiconductor device, that may include laminating a conductive layer for wiring formation on a semiconductor substrate, forming a photoresist layer pattern on the conductive layer, performing primary dry etching for the conductive layer after employing the photoresist layer pattern as a mask, thereby forming a wiring pattern, partially removing the photoresist layer pattern through secondary dry etching, thereby forming a passivation layer on a surface of the wiring pattern, performing tertiary dry etching for the wiring pattern and a diffusion barrier after employing the photoresist layer pattern as a mask, thereby forming a metal wiring, and removing the photoresist layer pattern.
    Type: Grant
    Filed: December 22, 2006
    Date of Patent: August 18, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Jong Soon Lee
  • Patent number: 7560390
    Abstract: Multiple pitch-multiplied spacers are used to form mask patterns having features with exceptionally small critical dimensions. One of each pair of spacers formed around a plurality of mandrels is removed and alternating layers, formed of two mutually selectively etchable materials, are deposited around the remaining spacers. Layers formed of one of the materials are then etched, leaving behind vertically-extending layers formed of the other of the materials, which form a mask pattern. Alternatively, instead of depositing alternating layers, amorphous carbon is deposited around the remaining spacers followed by a plurality of cycles of forming pairs of spacers on the amorphous carbon, removing one of the pairs of spacers and depositing an amorphous carbon layer. The cycles can be repeated to form the desired pattern. Because the critical dimensions of some features in the pattern can be set by controlling the width of the spaces between spacers, exceptionally small mask features can be formed.
    Type: Grant
    Filed: June 2, 2005
    Date of Patent: July 14, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Sanket Sant, Gurtej Sandhu, Neal R. Rueger
  • Patent number: 7553771
    Abstract: A method of forming a pattern of a semiconductor device comprises forming a first hard mask film, a first resist film, and a second hard mask film over an underlying layer of a semiconductor substrate; forming a second resist pattern over the second hard mask film; etching the second hard mask film using the second resist pattern as an etching mask to form a second hard mask pattern; performing an ion-implanting process on the first resist film with the second hard mask pattern as an ion implanting mask to form an ion implanting layer in a portion of the first resist film, and selectively etching the first resist film with the second hard mask pattern and an ion implanting layer as an etching mask to form a first resist pattern.
    Type: Grant
    Filed: November 29, 2007
    Date of Patent: June 30, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Seo Min Kim, Chang Moon Lim
  • Patent number: 7534711
    Abstract: System and method for direct etching. According to an embodiment, the present invention provides a method for manufacturing an integrated circuit device. The method includes a step for providing a substrate having a contact region, which is provided between a first word line and a second word line. The contact region has an overlying plug structure, which is provided within a thickness of a first dielectric layer. The first dielectric layer includes a portion overlying the plug structure. The first dielectric layer has a planarized surface region. The method also includes a step for forming a first line and a second line and a space provided between the first word line and the second world line. The space is provided within a region overlying the plug structure.
    Type: Grant
    Filed: December 23, 2006
    Date of Patent: May 19, 2009
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Jingang Wu, Fei Luo, Guanqie Gao, Cheng Yang
  • Patent number: 7531465
    Abstract: Provided is a method of manufacturing a nitride-based semiconductor light-emitting device having an improved structure in which optical extraction efficiency is improved. The method of manufacturing a nitride-based semiconductor light-emitting device including an n-doped semiconductor layer, an active layer, a p-doped semiconductor layer, an n-electrode and a p-electrode includes: forming an azobenzene-functionalized polymer film on a base layer by selecting one layer from the group consisting of the n-doped semiconductor layer, the p-doped semiconductor layer, the n-electrode and the p-electrode as the base layer; forming surface relief gratings of a micro-pattern caused by a photophysical mass transport property of azobenzene-functionalized polymer by irradiating interference laser beams onto the azobenzene-functionalized polymer film; forming a photonic crystal layer using a metal oxide on a recessed gap of the azobenzene-functionalized polymer film, and removing the azobenzene-functionalized polymer film.
    Type: Grant
    Filed: March 23, 2007
    Date of Patent: May 12, 2009
    Assignee: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Jae-hee Cho, Cheol-soo Sone, Dong-yu Kim, Hyun-gi Hong, Seok-soon Kim
  • Patent number: 7514361
    Abstract: A method of creating metal caps on copper lines within an inter-line dielectric (ILD) deposits a thin (e.g., 5 nm) metal blanket film (e.g., Ta/TaN) on top the copper lines and dielectric, after the wafer has been planarized. Further a thin dielectric cap is formed over the metal blanket film. A photoresist coating is deposited over the thin dielectric cap and a lithographic exposure process is performed, but without a lithographic mask. A mask is not needed in this situation, because due to the reflectivity difference between copper and the ILD lying under the two thin layers, a mask pattern is automatically formed for etching away the Ta/TaN metal cap between copper lines. Thus, this mask pattern is self-aligned above the copper lines.
    Type: Grant
    Filed: August 20, 2007
    Date of Patent: April 7, 2009
    Assignee: International Business Machines Corporation
    Inventors: Griselda Bonilla, Shyng-Tsong Chen, Matthew E. Colburn, Ronald DellaGuardia, Chih-Chao Yang
  • Patent number: 7482280
    Abstract: A method of lithography patterning includes forming a first material layer on a substrate, the first material layer being substantially free of silicon, and forming a patterned resist layer including at least one opening therein above the first material layer. A second material layer containing silicon is formed on the patterned resist layer and an opening is formed in the first material layer using the second material layer as a mask.
    Type: Grant
    Filed: June 23, 2006
    Date of Patent: January 27, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ching-Yu Chang, Chin-Hsiang Lin, Burn Jeng Lin
  • Publication number: 20090024978
    Abstract: Embodiments relate to a semiconductor device mask in which an optical proximity correction (OPC) process is performed to compensate for varying degrees of planarization of a lower layer and a method of forming a mask pattern. In embodiments, a method of forming a semiconductor device mask includes dividing a semiconductor substrate into a plurality of local regions. Densities of patterns of the local regions are determined. A degree of dishing of the local regions is also determined. The local regions are classified into a first group in case where the degree dishing of the local regions are within an error range and a second group in case where the degree of dishing of the local regions exceed the error range. A mask data preparation process is performed with a size retrieved from a basic database in the first group. A mask data preparation sizing rule different from the mask data preparation process is applied to the second group.
    Type: Application
    Filed: July 8, 2008
    Publication date: January 22, 2009
    Inventor: Young-Mi Kim
  • Patent number: 7468327
    Abstract: Methods of fabricating a microelectromechanical structure are provided. An exemplary embodiment of a method of fabricating a microelectromechanical structure comprises providing a substrate. A first patterned sacrificial layer is formed on portions of the substrate, the first patterned sacrificial layer comprises a bulk portion and a protrusion portion. A second patterned sacrificial layer is formed over the first sacrificial layer, covering the protrusion portion and portions of the bulk portion of the first patterned sacrificial layer, wherein the second patterned sacrificial layer does not cover sidewalls of the first patterned sacrificial layer. An element layer is formed over the substrate, covering portions of the substrate, the first patterned sacrificial layer and second patterned sacrificial layer. The first and second patterned sacrificial layers are removed, leaving a microstructure on the substrate.
    Type: Grant
    Filed: June 13, 2006
    Date of Patent: December 23, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chia-Hua Chang, Hua-Shu Wu, Tsung-Mu Lai
  • Patent number: 7452820
    Abstract: Disclosed are radiation-resistant zone plates for use in laser-produced plasma (LPP) devices, and methods of manufacturing such zone plates. In one aspect, a method of manufacturing a zone plate provides for forming a masking layer over a supporting membrane, and creating openings through the masking layer in a diffractive grating pattern. Such a method also provides depositing radiation absorbent material in the openings in the masking layer and on the supporting membrane, and then stripping the remaining portions of the masking layer. Then, portions of the supporting membrane not covered by the absorbent material are removed, wherein the remaining portions of the supporting membrane covered by the absorbent material form separate grates. Also in such methods, cross-members are coupled to the grates for holding positions of the grates with respect to each other.
    Type: Grant
    Filed: August 5, 2005
    Date of Patent: November 18, 2008
    Assignee: Gatan, Inc.
    Inventors: Scott H. Bloom, James J. Alwan
  • Publication number: 20080280381
    Abstract: In a resist reflow measurement key, and method of fabricating a fine pattern of a semiconductor device using the same, the resist reflow measurement key includes a first reflow key including a plurality of first pattern elements each having a first pattern with a first radius of curvature located on a first side of a first center line and a second pattern with a second radius of curvature located on a second side of the first center line, and a second reflow key including a plurality of second pattern elements each having a third pattern with a third radius of curvature located on a first side of a second center line and a fourth pattern with a fourth radius of curvature located on a second side of the second center line, the second reflow key being formed on a same plane of a substrate as the first reflow key.
    Type: Application
    Filed: July 17, 2008
    Publication date: November 13, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Doo-youl Lee, Gi-sung Yeo, Han-ku Cho, Jung-hyeon Lee
  • Publication number: 20080220615
    Abstract: A method for forming a self-aligned pattern on an existing pattern on a substrate comprising applying a coating of a solution containing a masking material in a carrier, the masking material being either photo or thermally sensitive; performing a blanket exposure of the substrate; and allowing at least a portion of the masking material to preferential develop in a fashion that is replicates the existing pattern of the substrate. The existing pattern may be comprised of a first set of regions of the substrate having a first reflectivity and a second set of regions of the substrate having a second reflectivity different from the first composition. The first set of regions may include one or more metal elements and the second set of regions may include a dielectric. Structures made in accordance with the method.
    Type: Application
    Filed: April 23, 2008
    Publication date: September 11, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Timothy A. Brunner, Matthew E. Colburn, Elbert Huang, Muthumanickam Sankarapandian
  • Patent number: 7361588
    Abstract: A method of reducing critical dimensions of a feature in a anti-reflective coating layer structure can utilize a polymerizing agent. The anti-reflective coating structure can be utilized to form various integrated circuit structures. The anti-reflective coating can be utilized to form gate stacks comprised of polysilicon and a dielectric layer, conductive lines, or other IC structure. The polymerizing agent can include carbon, hydrogen and fluorine.
    Type: Grant
    Filed: April 4, 2005
    Date of Patent: April 22, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Phillip L. Jones, Mark S. Chang, Scott A. Bell
  • Patent number: 7354781
    Abstract: A method of manufacturing a field emission device (FED) using a photoresist for performing multi-patterning processes, whereby different structures can be multi-patterned using a single photoresist mask. The photoresist has a solubility to a solvent by post-exposure heat-treatment, and a complicated structure can be formed using the photoresist.
    Type: Grant
    Filed: May 17, 2006
    Date of Patent: April 8, 2008
    Assignees: Samsung SDI Co., Ltd., E.I. Du Pont De Nemours and Company
    Inventors: Shang-Hyeun Park, Young-Hwan Kim
  • Publication number: 20080064226
    Abstract: A method of processing a substrate, comprising forming a chemically amplified resist film on a substrate, irradiating energy beams to the chemically amplified resist film to form a latent image therein, carrying out heat treatment with respect to the chemically amplified resist film, heating treatment being carried out in a manner of relatively moving a heating section for heating the chemically amplified resist film and the substrate forming a gas stream flowing reverse to the relatively moving direction of the heating section between the lower surface of the heating section and the chemically amplified resist film.
    Type: Application
    Filed: October 25, 2007
    Publication date: March 13, 2008
    Inventors: Kenji Kawano, Shinichi Ito, Eishi Shiobara
  • Patent number: 7332444
    Abstract: A method for smoothing areas of a structure made of a first material having a predetermined first glass transition temperature on a carrier includes the steps of: (1) applying a second material having a predetermined second glass transition temperature, so that the surface of the structure of the first material is at least partially covered by the second material; (2) increasing the temperature of the first material to a first predeterminable temperature, which is greater than the first glass transition temperature; and (3) lowering the temperature of the first material below the first glass transition temperature of the first material.
    Type: Grant
    Filed: February 22, 2005
    Date of Patent: February 19, 2008
    Assignee: Infineon Technologies AG
    Inventors: Wolf-Dieter Domke, Siegfried Schwarzl
  • Patent number: 7329618
    Abstract: An ion implanting method includes forming a pair of spaced and adjacent features projecting outwardly from a substrate. At least outermost portions of the pair of spaced features are laterally pulled away from one another with a patterned photoresist layer received over the features and which has an opening therein received intermediate the pair of spaced features. While such spaced features are laterally pulled, a species is ion implanted into substrate material which is received lower than the pair of spaced features. After the ion implanting, the patterned photoresist layer is removed from the substrate. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: June 28, 2005
    Date of Patent: February 12, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Randall Culver, Terrence B. McDaniel, Hongmei Wang, James L. Dale, Richard H. Lane, Fred D. Fishburn
  • Publication number: 20070287213
    Abstract: Methods of fabricating a microelectromechanical structure are provided. An exemplary embodiment of a method of fabricating a microelectromechanical structure comprises providing a substrate. A first patterned sacrificial layer is formed on portions of the substrate, the first patterned sacrificial layer comprises a bulk portion and a protrusion portion. A second patterned sacrificial layer is formed over the first sacrificial layer, covering the protrusion portion and portions of the bulk portion of the first patterned sacrificial layer, wherein the second patterned sacrificial layer does not cover sidewalls of the first patterned sacrificial layer. An element layer is formed over the substrate, covering portions of the substrate, the first patterned sacrificial layer and second patterned sacrificial layer. The first and second patterned sacrificial layers are removed, leaving a microstructure on the substrate.
    Type: Application
    Filed: June 13, 2006
    Publication date: December 13, 2007
    Inventors: Chia-Hua Chang, Hua-Shu Wu, Tsung-Mu Lai
  • Patent number: 7294586
    Abstract: A method of processing a substrate, comprising forming a chemically amplified resist film on a substrate, irradiating energy beams to the chemically amplified resist film to form a latent image therein, carrying out heat treatment with respect to the chemically amplified resist film, heating treatment being carried out in a manner of relatively moving a heating section for heating the chemically amplified resist film and the substrate forming a gas stream flowing reverse to the relatively moving direction of the heating section between the lower surface of the heating section and the chemically amplified resist film.
    Type: Grant
    Filed: January 6, 2005
    Date of Patent: November 13, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kenji Kawano, Shinichi Ito, Eishi Shiobara
  • Publication number: 20070232080
    Abstract: A reflow method includes preparing a to-be-processed object, which includes a first layer, a second layer formed in an upper layer to the first layer, and a resist film, which is directly on the second layer and has a pattern allowing formation of an exposure region in which the first layer is exposed and a coverage region in which the first layer is covered, wherein said resist film has an end thereof protruding out further above the exposure region than the edge of the second layer. The resist film has a shape protruding out further above the exposure region than the edge of the second layer. The method also includes covering a part or all of the exposure region by softening and reflowing the resist film.
    Type: Application
    Filed: March 28, 2007
    Publication date: October 4, 2007
    Inventor: Yutaka Asou
  • Patent number: 7276452
    Abstract: A method for removing mottled etch in a semiconductor fabricating process, prevents mottled etch from being generated after etching, by performing ashing using an oxide plasma, prior to performing wet etching using a photoresist pattern. The method for removing the mottled etch includes the steps of forming a gate oxide film on a semiconductor substrate; forming a photoresist pattern on the substrate; performing ashing using an oxygen plasma; and removing the oxide film consequently by wet etching, the oxide film being opened by the pattern.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: October 2, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Hyung Seok Kim
  • Patent number: 7253113
    Abstract: A method for forming a semiconductor device having a reduced pitch is provided. The method includes providing a substrate, forming a material layer over the substrate, forming a photoresist layer over the material layer, exposing a top surface of the photoresist layer to radiation, and forming a silylated layer over the photoresist layer. The method further includes removing a portion of the silylated layer to expose the photoresist layer, removing the photoresist layer, removing portions of the material layer using the silylated layer as a mask, and removing another portion of the silylated layer.
    Type: Grant
    Filed: November 13, 2003
    Date of Patent: August 7, 2007
    Assignee: Macronix International Co., Ltd.
    Inventor: Yang Chin Cheng
  • Patent number: 7226873
    Abstract: An isotropic-diffusion filling method uses a thermal process on a result structure comprising a photoresist layer and an organic material layer to create a cross-linking layer there between, which minimizes step height differences between isolated and dense via-pattern regions for optimizing a subsequent trench process and simplifying process steps.
    Type: Grant
    Filed: November 22, 2004
    Date of Patent: June 5, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yung-Sung Yen, Kuei-Shun Chen, Chia-Hsiang Lin, Lawrence Lin, Tsung Hsien Lin
  • Patent number: 7226852
    Abstract: A method of forming a feature in a low-k dielectric layer is provided. A low-k dielectric layer is placed over a substrate. A patterned photoresist mask is placed over the low-k dielectric layer. At least one feature is etched into the low-k dielectric layer. A CO conditioning is preformed on the at least one feature after the at least one feature is etched. The patterned photoresist mask is stripped after the CO conditioning.
    Type: Grant
    Filed: June 10, 2004
    Date of Patent: June 5, 2007
    Assignee: Lam Research Corporation
    Inventors: Siyi Li, Helen H. Zhu, Howard Dang, Thomas S. Choi, Peter Loewenhardt
  • Patent number: 7157377
    Abstract: A semiconductor device is made by patterning a conductive layer for forming gates of transistors. The process for forming the gates has a step of patterning photoresist that overlies the conductive layer. The patterned photoresist is trimmed so that its width is reduced. Fluorine, preferably F2, is applied to the trimmed photoresist to increase its hardness and its selectivity to the conductive layer. Using the trimmed and fluorinated photoresist as a mask, the conductive layer is etched to form conductive features useful as gates. Transistors are formed in which the conductive pillars are gates. Other halogens, especially chlorine, may be substituted for the fluorine.
    Type: Grant
    Filed: February 13, 2004
    Date of Patent: January 2, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Cesar M. Garza, William D. Darlington, Stanley M. Filipiak, James E. Vasek
  • Patent number: 7157319
    Abstract: A high-precision patterning is conducted with a half-tone resist thickness being prevented from varying due to the presence/absence of a base film. A transmitting portion and two kinds of semi-transmitting portions, providing different quantities of transmitted light, are provided in a photomask for exposing a resist, and a smaller-transimitting-light-quantity semi-transmitting portion is used in a base film-present area and a large-transmitting light-quantity semi-transmitting portion is used in a base-film-free area to regulate luminous exposure while exposing, thereby forming a half-tone resist having uniform thickness.
    Type: Grant
    Filed: July 5, 2001
    Date of Patent: January 2, 2007
    Assignee: Advanced Display Inc.
    Inventors: Yoshimitsu Ishikawa, Takehisa Yamaguchi, Ken Nakashima
  • Patent number: 7144752
    Abstract: A method of manufacturing an organic electroluminescent display device, an organic electroluminescent display device, and a display device equipped with an organic electroluminescent display device are provided that enable a microlens to be formed without affecting an organic luminescent layer during the manufacturing process and to easily manufacture an organic electroluminescent display device with increased light output efficiency. According to the method, a lens pattern corresponding to a microlens that refracts the light from an organic luminescent layer is formed by performing photolithography treatment on a first transparent resin film formed on a substrate, and the microlens is formed by performing reflow treatment on the lens pattern.
    Type: Grant
    Filed: June 4, 2004
    Date of Patent: December 5, 2006
    Assignee: Seiko Epson Corporation
    Inventor: Shinichi Yotsuya
  • Patent number: 7053030
    Abstract: A silicone hyper-branched polymer surfactant is included in a rinsing solution which may be used to remove photoresist residues.
    Type: Grant
    Filed: February 19, 2004
    Date of Patent: May 30, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyoung-Mi Kim, Jae-Ho Kim, Young-Ho Kim, Sang-Woong Yoon, Boo-Deuk Kim, Shi-Yong Lee