Characterized By Their Composition, E.g., Multilayer Masks, Materials (epo) Patents (Class 257/E21.232)
  • Patent number: 11901226
    Abstract: The present disclosure relates to a method of forming an interconnect structure. The method can include providing a semiconductor substrate; depositing a photoresist and a BARC layer on the semiconductor substrate; forming an opening in the photoresist and the BARC layer and a portion of the semiconductor substrate; depositing a conductive material to fill the opening; and planarizing the conductive material and the semiconductor substrate.
    Type: Grant
    Filed: June 16, 2021
    Date of Patent: February 13, 2024
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Wen-Kuei Liu
  • Patent number: 11728166
    Abstract: Provided is a method of processing a substrate including an etching target film and a mask having an opening formed on the etching target film. The method includes a) providing the substrate on a stage in a chamber and b) forming a film having a thickness that differs along a film thickness direction of the mask, on a side wall of the opening.
    Type: Grant
    Filed: February 27, 2020
    Date of Patent: August 15, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Sho Kumakura, Maju Tomura, Yoshihide Kihara, Hironari Sasagawa
  • Patent number: 11615960
    Abstract: The present invention provides a method for removing re-sputtered material on a substrate. A process chamber having a plasma source and a substrate support is provided along with the substrate having an upper surface and a lower surface. A masking material having a patterned sidewall is patterned onto the upper surface of the substrate along with a sacrificial layer between the upper surface of the substrate and the masking material. The lower surface of the substrate is placed onto the substrate support. A plasma is generated using the plasma source. The substrate is processed on the substrate support using the generated plasma. The sacrificial layer is removed after the processing of the substrate.
    Type: Grant
    Filed: December 2, 2020
    Date of Patent: March 28, 2023
    Assignee: Cornell University
    Inventors: David G. Lishan, Kyle Dorsey, Vincent J. Genova
  • Patent number: 11527414
    Abstract: A method for patterning a material layer on a substrate includes forming a hard mask layer on a material layer disposed on a substrate, and etching the material layer through the hard mask layer by simultaneously supplying an etching gas mixture and an oxygen containing gas. The etching gas mixture is supplied continuously and the oxygen containing gas is pulsed.
    Type: Grant
    Filed: July 7, 2021
    Date of Patent: December 13, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Nancy Fung, Gabriela Alva
  • Patent number: 11404431
    Abstract: Various methods overcome the limitations and achieve superior scaling by (i) replacing a single highly challenging high aspect ratio etch step with two or more etch steps of less challenging aspect ratios and which involve wider and more mechanically stable active strips, (ii) using dielectric pillars for support and to maintain structural stability during a high aspect ratio etch step and subsequent processing steps, or (iii) using multiple masking steps to provide two or more etch steps of less challenging aspect ratios and which involve wider and more mechanically stable active strips.
    Type: Grant
    Filed: December 4, 2019
    Date of Patent: August 2, 2022
    Assignee: SUNRISE MEMORY CORPORATION
    Inventors: Scott Brad Herner, Wu-Yi Henry Chien, Jie Zhou, Eli Harari
  • Patent number: 11380604
    Abstract: A method of forming a textured surface layer along a substrate that includes disposing a plurality of polymer spheres on a surface of the metal substrate, and electroplating the metal substrate at a current density to deposit a metal layer along a body of each of the plurality of polymer spheres disposed on the surface of the metal substrate. The metal layer does not extend above a top surface of the plurality of polymer spheres. The method further includes removing the plurality of polymer spheres from the metal layer to form the textured surface defined by a size and shape of the plurality of polymer spheres.
    Type: Grant
    Filed: November 26, 2019
    Date of Patent: July 5, 2022
    Assignees: TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC., THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ILLINOIS
    Inventors: Shailesh N. Joshi, Paul Braun, Julia Kohanek, Gaurav Singhal
  • Patent number: 11342188
    Abstract: A method includes forming a first gate dielectric and a second gate dielectric over a first semiconductor region and a second semiconductor region, respectively, depositing a lanthanum-containing layer including a first portion and a second portion overlapping the first gate dielectric and the second gate dielectric, respectively, and depositing a hard mask including a first portion and a second portion overlapping the first portion and the second portion of the lanthanum-containing layer, respectively. The hard mask is free from both of titanium and tantalum. The method further includes forming a patterned etching mask to cover the first portion of the hard mask, with the second portion of the hard mask being exposed, removing the second portion of the hard mask and the second portion of the lanthanum-containing layer, and performing an anneal to drive lanthanum in the first portion of the lanthanum-containing layer into the first gate dielectric.
    Type: Grant
    Filed: September 17, 2019
    Date of Patent: May 24, 2022
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kuo-Feng Yu, Chun Hsiung Tsai, Jian-Hao Chen, Hoong Shing Wong, Chih-Yu Hsu
  • Patent number: 10991594
    Abstract: Embodiments provide area-selective etching of silicon nitride for the manufacture of microelectronic workpieces through sequential exposure of silicon nitride layers to hydrogen ions/radicals followed by fluorine ions/radicals using beam delivery techniques such as ion beam and/or neutral beam techniques. The area-selective etch processes are anisotropic when hydrogen ions are used and are isotropic when hydrogen radicals are used. Further, sputtering of material onto a substrate for a microelectronic workpiece is not required for the disclosed embodiments. Further, by using ion beam and/or neutral beam techniques, area-selective etching of silicon nitride is achieved as opposed to the large-area etching provided by prior plasma processing techniques. For certain embodiments, the ion/neutral beam techniques described herein are used to fabricate silicon nitride hard masks without requiring the use of any mask.
    Type: Grant
    Filed: June 20, 2019
    Date of Patent: April 27, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Sonam D. Sherpa, Alok Ranjan
  • Patent number: 10867795
    Abstract: A method of etching a hardmask layer formed on a substrate is provided. The method includes supplying an etching gas mixture to a processing region of a processing chamber. A device is disposed in the processing region when the etching gas mixture is supplied to the processing region. The device comprises a substrate and a hardmask layer formed over the substrate. The etching gas mixture comprises a fluorine-containing gas, a silicon-containing gas, and an oxygen-containing gas. The method further includes providing RF power to the etching gas mixture to form a plasma in the processing region. The plasma is configured to etch exposed portions of the hardmask layer.
    Type: Grant
    Filed: May 18, 2018
    Date of Patent: December 15, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Nancy Fung, Gene Lee, Hailong Zhou, Zohreh Hesabi, Akhil Mehrotra, Shan Jiang, Abhijit Patil, Chi-I Lang, Larry Gao
  • Patent number: 10475660
    Abstract: A method of making a semiconductor device includes disposing a first hard mask (HM), amorphous silicon, and second HM on a substrate; disposing oxide and neutral layers on the second HM; removing a portion of the oxide and neutral layers to expose a portion of the second HM; forming a guiding pattern by selectively backfilling with a polymer; forming a self-assembled block copolymer (BCP) on the guiding pattern; removing a portion of the BCP to form an etch template; transferring the pattern from said template into the substrate and forming uniform silicon fin arrays with two types of HM stacks with different materials and heights; gap-filling with oxide followed by planarization; selectively removing and replacing the taller HM stack with a third HM material; planarizing the surface and exposing both HM stacks; and selectively removing the shorter HM stack and the silicon fins underneath.
    Type: Grant
    Filed: November 29, 2016
    Date of Patent: November 12, 2019
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Cheng Chi, Fee Li Lie, Chi-Chun Liu, Ruilong Xie
  • Patent number: 10062656
    Abstract: A semiconductor device includes a substrate, a dielectric structure, a top metal layer and a bonding structure. The dielectric structure is disposed on the substrate. The top metal layer is disposed in the dielectric structure. The bonding structure is disposed on the dielectric structure and the top metal layer. The bonding structure includes a silicon oxide layer, a silicon oxy-nitride layer, a conductive bonding layer and a barrier layer. The silicon oxide layer is disposed on the dielectric structure. The silicon oxy-nitride layer covers the silicon oxide layer. The conductive bonding layer is disposed in the silicon oxide layer and the silicon oxy-nitride layer. The barrier layer covers a sidewall and a bottom of the conductive bonding layer.
    Type: Grant
    Filed: August 15, 2016
    Date of Patent: August 28, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chao-Ching Chang, Sheng-Chan Li, Wen-Jen Tsai, Chih-Hui Huang, Jian-Shin Tsai, Cheng-Yi Wu, Yi-Ming Lin, Min-Hui Lin
  • Patent number: 10002773
    Abstract: A method for selectively etching trenches in a silicon oxide containing layer with an organic planarization layer is provided. Processing the silicon oxide layer comprises a plurality of process cycles, wherein each etch cycle comprises a deposition phase, comprising providing a flow of a deposition phase gas comprising a fluorocarbon or hydrofluorocarbon containing gas with a fluorine to carbon ratio, providing a constant RF power, which forms the deposition phase gas into a plasma, and stopping the deposition phase and an etch phase, comprising providing a flow of an etch phase gas comprising a fluorocarbon or hydrofluorocarbon containing gas with a fluorine to carbon ratio that is higher than the fluorine to carbon ratio of the deposition phase gas, providing a pulsed RF power, which forms the etch phase gas into a plasma, and stopping the etch phase.
    Type: Grant
    Filed: October 11, 2016
    Date of Patent: June 19, 2018
    Assignee: Lam Research Corporation
    Inventors: Bhaskar Nagabhirava, Adarsh Basavalingappa, Peng Wang, Prabhakara Gopaladasu, Michael Goss
  • Patent number: 9991171
    Abstract: A semiconductor device and an integrated circuit are provided. The semiconductor device includes a field effect transistor, a negative capacitor and a control circuit, which are disposed at different horizontal levels on a substrate. The field effect transistor includes a front gate, a back gate and an oxide semiconductor layer disposed between the front gate and the back gate. The negative capacitor is electrically connected to the back gate of the field effect transistor. The negative capacitor includes a pair of electrodes and a ferroelectric material layer disposed between the pair of electrodes. The negative capacitor is electrically connected between the back gate of the field effect transistor and the control circuit, the control circuit is configured to charge the negative capacitor and to asses a voltage between the pair of electrodes of the negative capacitor.
    Type: Grant
    Filed: October 25, 2017
    Date of Patent: June 5, 2018
    Assignee: United Microelectronics Corp.
    Inventor: Zhi-Biao Zhou
  • Patent number: 9564340
    Abstract: A method of manufacturing a semiconductor device includes forming a plurality of active fins over a semiconductor substrate, sequentially forming first and second hard mask layers over the active fins, forming a first hard mask pattern by etching the second hard mask layer, trimming the first hard mask pattern to form a trimmed hard mask pattern, forming a first photo resist pattern over the first hard mask layer, forming second hard mask patterns by etching the first hard mask layer by using the trimmed hard mask pattern and the first photo resist pattern as an etching mask, and forming active fin patterns by etching the active fins by using the second hard mask patterns as an etching mask.
    Type: Grant
    Filed: December 2, 2015
    Date of Patent: February 7, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Gi-bong Lee, Wook-hyun Kwon, Kyung-soo Kim, Seon-ah Nam, Yeon-ho Park, Nak-jin Son
  • Patent number: 9484220
    Abstract: A method for fabricating one or more conductive lines in an integrated circuit includes providing a layer of copper containing conductive metal in a multi-layer structure fabricated upon a wafer, providing a first hard mask layer over the layer of copper containing conductive metal, performing a first sputter etch of first hard mask layer using a chlorine-based plasma or a sulfur fluoride-based plasma, and performing a second sputter etch of first hard mask layer using a second plasma, wherein a portion of the layer of copper containing conductive metal residing below a portion of the first hard mask layer that remains after the second sputter etch forms the one or more conductive lines. In one embodiment, the second plasma is a fluorocarbon-based plasma.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: November 1, 2016
    Assignees: International Business Machines Corporation, Applied Materials, Incorporated
    Inventors: Mark D. Hoinkis, Eric A. Joseph, Hiroyuki Miyazoe, Chun Yan
  • Patent number: 9443741
    Abstract: An etching method includes forming a high density structure and a low density structure on a substrate. A first material layer is formed to cover both structures. Part of the low density structure is exposed through the first material layer. A second material layer is formed to cover the first material layer. The second material layer is etched to remove the second material layer on the high density structure and part of the second material layer on the low density structure. The first material layer on the high density structure and the second material layer on the low density structure are simultaneously etched. The first material layer is etched to expose a first portion of the high density structure and a second portion of the low density structure. Finally, the first portion and the second portion are removed.
    Type: Grant
    Filed: June 24, 2015
    Date of Patent: September 13, 2016
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Po-Wen Su, Zhi-Jian Wang, Cheng-Chang Wu, Hsin-Yu Hsieh, Shui-Yen Lu
  • Patent number: 9034748
    Abstract: Embodiments include a method comprising depositing a hard mask layer over a first layer, the hard mask layer including; lower hard mask layer, hard mask stop layer, and upper hard mask. The hard mask layer and the first layer are patterned and a spacer deposited on the patterned sidewall. The upper hard mask layer and top portion of the spacer are removed by selective etching with respect to the hard mask stop layer, the remaining spacer material extending to a first predetermined position on the sidewall. The hard mask stop layer is removed by selective etching with respect to the lower hard mask layer and spacer. The first hard mask layer and top portion of the spacer are removed by selectively etching the lower hard mask layer and the spacer with respect to the first layer, the remaining spacer material extending to a second predetermined position on the sidewall.
    Type: Grant
    Filed: September 4, 2013
    Date of Patent: May 19, 2015
    Assignee: International Business Machines Corporation
    Inventors: Christopher V. Baiocco, Kevin K. Chan, Young-Hee Kim, Masaharu Kobayashi, Effendi Leobandung, Fei Liu, Dae-Gyu Park, Helen Wang, Xinhui Wang, Min Yang
  • Patent number: 9018776
    Abstract: A hard mask composition includes a solvent and an aromatic ring-containing compound represented by the following Chemical Formula 1:
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: April 28, 2015
    Assignee: Cheil Industries, Inc.
    Inventors: Jee-Yun Song, Min-Soo Kim, Hwan-Sung Cheon, Seung-Bae Oh, Yoo-Jeong Choi
  • Patent number: 8956982
    Abstract: According to one embodiment, a stacked film including at least a silicon oxide film is formed by stacking a plurality of films formed of different materials and a hard mask pattern is formed on the stacked film. Then, a stacked film pattern of a predetermined shape is formed by performing anisotropic etching on the stacked film by using the hard mask pattern as an etching mask and the hard mask pattern is removed. The hard mask pattern is formed by stacking at least one first hard mask layer and at least one second hard mask layer. The first hard mask layer is formed of a material having a higher removability in wet etching than the second hard mask layer. The first hard mask layer is arranged immediately above the stacked film.
    Type: Grant
    Filed: November 18, 2011
    Date of Patent: February 17, 2015
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Shuichi Tsubata, Hirotaka Ogihara
  • Patent number: 8906487
    Abstract: In a base material with a single-crystal silicon carbide film according to an embodiment of the invention, a plurality of recessed portions is formed on the surface of a silicon substrate, an insulating film including silicon oxide is formed across the surface of the silicon substrate including the inner surfaces of the recessed portions, the top surfaces of side wall portions of recessed portions of the insulating film form flat surfaces, a single-crystal silicon carbide film is joined on the flat surfaces, and the recessed portions below the single-crystal silicon carbide film form holes.
    Type: Grant
    Filed: June 30, 2011
    Date of Patent: December 9, 2014
    Assignee: Seiko Epson Corporation
    Inventor: Hiroyuki Shimada
  • Patent number: 8846540
    Abstract: A semiconductor device includes a semiconductor substrate having an etch target layer provided on the surface thereof, and a hard mask layer formed over the etch target layer and including silicon, wherein the hard mask layer includes a dual structure including a first area and a second area having a larger etch rate than the first area, in order to increase an etching selectivity of the hard mask layer.
    Type: Grant
    Filed: December 12, 2012
    Date of Patent: September 30, 2014
    Assignee: SK Hynix Inc.
    Inventors: Sung-Kwon Lee, Jun-Hyeub Sun, Young-Kyun Jung
  • Patent number: 8828882
    Abstract: A trench is formed in a semiconductor substrate by depositing an etch mask on the substrate having an opening, etching of the trench through the opening, and doping the walls of the trench. The etching step includes a first phase having an etch power set to etch the substrate under the etch mask, and a second phase having an etch power set smaller than the power of the first phase. Further, the doping of the walls of the trench is applied through the opening of the etch mask.
    Type: Grant
    Filed: December 13, 2012
    Date of Patent: September 9, 2014
    Assignee: STMicroelectronics (Crolles 2) SAS
    Inventors: Arnaud Tournier, Françcois Leverd
  • Patent number: 8815748
    Abstract: A method for forming a semiconductor device is provided including processing a wafer having a target material, forming a multilevel photoresist structure having a protection layer over the target material, and forming a multilevel recess in the target material with the multilevel photoresist structure.
    Type: Grant
    Filed: January 12, 2007
    Date of Patent: August 26, 2014
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Thomas Ingolf Wallow, Ryoung-han Kim, Jongwook Kye, Harry Jay Levinson
  • Patent number: 8796096
    Abstract: A method of fabricating a semiconducting device is disclosed. A graphene sheet is formed on a substrate. At least one slot is formed in the graphene sheet, wherein the at least one slot has a width that allows an etchant to pass through the graphene sheet. An etchant is applied to the substrate through the at least one slot formed in the graphene sheet to etch the substrate.
    Type: Grant
    Filed: December 4, 2012
    Date of Patent: August 5, 2014
    Assignee: International Business Machines Corporation
    Inventors: Damon B. Farmer, Aaron D. Franklin, Joshua T. Smith
  • Patent number: 8735237
    Abstract: The thickness of drain and source areas may be reduced by a cavity etch used for refilling the cavities with an appropriate semiconductor material, wherein, prior to the epitaxial growth, an implantation process may be performed so as to allow the formation of deep drain and source areas without contributing to unwanted channel doping for a given critical gate height. In other cases, the effective ion blocking length of the gate electrode structure may be enhanced by performing a tilted implantation step for incorporating deep drain and source regions.
    Type: Grant
    Filed: June 15, 2012
    Date of Patent: May 27, 2014
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Uwe Griebenow, Kai Frohberg, Frank Feustel, Thomas Werner
  • Patent number: 8722532
    Abstract: A first wiring is disposed over a semiconductor substrate. A first via is disposed over the first wiring. Further, the bottom surface of the first via is in contact with the first wiring. A first insulation layer is disposed over the semiconductor substrate, and is in contact with at least the top surface of the first wiring and the side surface of the first via. At least a part of each side surface of the first wiring and the first via cuts off each metal crystal grain.
    Type: Grant
    Filed: August 6, 2012
    Date of Patent: May 13, 2014
    Assignee: Renesas Electronics Corporation
    Inventors: Tatsuya Usami, Hiroshi Kitajima
  • Patent number: 8679883
    Abstract: Various embodiments of the present disclosure pertain to selective photo-enhanced wet oxidation for nitride layer regrowth on substrates. In one aspect, a semiconductor structure may comprise: a first substrate structure; a III-nitride structure bonded with the first substrate structure; a plurality of air gaps formed between the first substrate structure and the III-nitride structure; and a III-oxide layer formed on surfaces around the air gaps, wherein a portion of the III-nitride structure including surfaces around the air gaps is transformed into the III-oxide layer by a selective photo-enhanced wet oxidation, and the III-oxide layer is formed between an untransformed portion of the III-nitride structure and the first substrate structure.
    Type: Grant
    Filed: April 9, 2013
    Date of Patent: March 25, 2014
    Assignee: Opto Tech Corporation
    Inventors: Lung-Han Peng, Jeng-Wei Yu, Po-Chun Yeh
  • Publication number: 20140035151
    Abstract: Integrated circuits and methods for fabricating integrated circuits are provided. One method includes creating a master pattern layout including first and second adjacent cells. The first adjacent cell has a first border pin with a first routing line. The second adjacent cell has a second border pin with a second routing line. The first and second routing lines overlap to define an edge-edge stitch to couple the first and second border pins. The master pattern layout is decomposed into sub-patterns.
    Type: Application
    Filed: August 6, 2012
    Publication date: February 6, 2014
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Lei Yuan, Hidekazu Yoshida, Jongwook Kye, Qi Xiang, Mahbub Rashed
  • Patent number: 8637403
    Abstract: A method of manufacturing a semiconductor structure includes varying local chemical mechanical polishing (CMP) abrading rates of an insulator film by selectively varying a carbon content of the insulator film.
    Type: Grant
    Filed: December 12, 2011
    Date of Patent: January 28, 2014
    Assignee: International Business Machines Corporation
    Inventors: Yoba Amoah, Graham M. Bates, Joseph P. Hasselbach, Thomas L. McDevitt, Eva A. Shah
  • Publication number: 20140024215
    Abstract: Disclosed is an improved double patterning method for forming openings (e.g., vias or trenches) or mesas on a substrate. This method avoids the wafer topography effects seen in prior art double patterning techniques by ensuring that the substrate itself is only subjected to a single etch process. Specifically, in the method, a first mask layer is formed on the substrate and processed such that it has a doped region and multiple undoped regions within the doped region. Then, either the undoped regions or the doped region can be selectively removed in order to form a mask pattern above the substrate. Once the mask pattern is formed, an etch process can be performed to transfer the mask pattern into the substrate. Depending upon whether the undoped regions are removed or the doped region is removed, the mask pattern will form openings (e.g., vias or trenches) or mesas, respectively, on the substrate.
    Type: Application
    Filed: July 23, 2012
    Publication date: January 23, 2014
    Applicant: International Business Machines Corporation
    Inventors: Kangguo Cheng, Bruce B. Doris, Ali Khakifirooz, Ying Zhang
  • Publication number: 20140008806
    Abstract: Apparatuses and methods for stair step formation using at least two masks, such as in a memory device, are provided. One example method can include forming a first mask over a conductive material to define a first exposed area, and forming a second mask over a portion of the first exposed area to define a second exposed area, the second exposed area is less than the first exposed area. Conductive material is removed from the second exposed area. An initial first dimension of the second mask is less than a first dimension of the first exposed area and an initial second dimension of the second mask is at least a second dimension of the first exposed area plus a distance equal to a difference between the initial first dimension of the second mask and a final first dimension of the second mask after a stair step structure is formed.
    Type: Application
    Filed: July 6, 2012
    Publication date: January 9, 2014
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Chang Wan Ha, Graham R. Wolstenholme, Deepak Thimmegowda
  • Patent number: 8603921
    Abstract: One or more openings in an organic mask layer deposited on a first insulating layer over a substrate are formed. One or more openings in the first insulating layer are formed through the openings in the organic mask using a first iodine containing gas. An antireflective layer can be deposited on the organic mask layer. One or more openings in the antireflective layer are formed down to the organic mask layer using a second iodine containing gas. The first insulating layer can be deposited on a second insulating layer over the substrate. One or more openings in the second insulating layer can be formed using a third iodine containing gas.
    Type: Grant
    Filed: July 25, 2011
    Date of Patent: December 10, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Daisuke Shimizu, Jong Mun Kim
  • Publication number: 20130295769
    Abstract: Integrated circuit methods are described. The methods include providing a photomask that includes two main features for two via openings and further includes an optical proximity correction (OPC) feature linking the two main features; forming a hard mask layer on a substrate, the hard mask layer including two trench openings; forming a patterned resist layer over the hard mask layer using the photomask, wherein the patterned resist layer includes a peanut-shaped opening with two end portion aligned with the two trench openings of the hard mask layer, respectively; and performing a first etch process to the substrate using the hard mask layer and the patterned resist layer as a combined etch mask.
    Type: Application
    Filed: May 7, 2012
    Publication date: November 7, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chung-Yi Lin, Jiing-Feng Yang, Tzu-Hao Huang, Chih-Hao Hsieh, Dian-Hau Chen, Hsiang-Lin Chen, Ko-Bin Kao, Yung-Shih Cheng
  • Patent number: 8563433
    Abstract: A process to form a via hole in a semiconductor wafer is disclosed. The process includes steps of, preparing a metal mask and etching the wafer by the metal mask as the etching mask. The preparation of the metal mask includes steps of: coating a nega-resist on the back surface of the wafer, carrying out the photolithography for the coated nega-resist, plating a metal selectively by the patterned photoresist, and removing the patterned photoresist.
    Type: Grant
    Filed: July 22, 2011
    Date of Patent: October 22, 2013
    Assignee: Sumitomo Electric Device Innovations, Inc.
    Inventor: Toshiyuki Kosaka
  • Patent number: 8563371
    Abstract: Provided is a method of forming a semiconductor device. The method may include forming a first insulating layer on a semiconductor substrate. A first polycrystalline silicon layer may be formed on the first insulating layer. A second insulating layer may be formed on the first polycrystalline silicon layer. A second polycrystalline silicon layer may be formed on the second insulating layer. A mask pattern may be formed on the second polycrystalline silicon layer. The second polycrystalline silicon layer may be patterned using the mask pattern as an etch mask to form a second polycrystalline silicon pattern exposing a portion of the second insulating layer. A sidewall of the second polycrystalline silicon pattern may include a first amorphous region. The first amorphous region may be crystallized by a first recrystallization process. The exposed portion of the second insulating layer may be removed to form a second insulating pattern exposing a portion of the first polycrystalline silicon layer.
    Type: Grant
    Filed: August 23, 2011
    Date of Patent: October 22, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyung-Yub Jeon, Kyoung-Sub Shin, Jun-Ho Yoon, Je-Woo Han
  • Patent number: 8551846
    Abstract: A method of fabricating a semiconductor device includes providing a semiconductor substrate including a channel region, forming a gate electrode structure on the channel region of the semiconductor substrate, forming a first trench in the semiconductor substrate, and forming a second trench in the semiconductor device. The first trench may include a first tip that protrudes toward the channel. The second trench may be an enlargement of the first trench and may include a second tip that also protrudes toward the channel region. In some examples, the second tip may protrude further towards the channel region than the first tip.
    Type: Grant
    Filed: March 22, 2012
    Date of Patent: October 8, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong Hyuk Kim, Dongsuk Shin, Myungsun Kim, Hoi Sung Chung
  • Patent number: 8551883
    Abstract: The invention relates to a method for masking a semiconductor substrate including the following steps: providing a planar semiconductor substrate having a first side and a second side lying opposite thereto, applying a mask to at least one of the sides, an extrusion printing method being used for applying the mask.
    Type: Grant
    Filed: June 15, 2010
    Date of Patent: October 8, 2013
    Assignee: SolarWorld Innovations GmbH
    Inventors: Holger Neuhaus, Andreas Krause, Bernd Bitnar, Frederick Bamberg, Reinhold Schlosser
  • Patent number: 8497180
    Abstract: Devices are formed with boot shaped source/drain regions formed by isotropic etching followed by anisotropic etching. Embodiments include forming a gate on a substrate, forming a first spacer on each side of the gate, forming a source/drain region in the substrate on each side of the gate, wherein each source/drain region extends under a first spacer, but is separated therefrom by a portion of the substrate, and has a substantially horizontal bottom surface. Embodiments also include forming each source/drain region by forming a cavity to a first depth adjacent the first spacer and forming a second cavity to a second depth below the first cavity and extending laterally underneath the first spacers.
    Type: Grant
    Filed: August 5, 2011
    Date of Patent: July 30, 2013
    Assignee: GlobalFoundries Inc.
    Inventors: Peter Javorka, Stephan D. Kronholz, Matthias Kessler, Roman Boschke
  • Patent number: 8492259
    Abstract: A method of forming metal gate structure includes providing a substrate; forming a gate dielectric layer, a material layer and a polysilicon layer stacked on the substrate; forming a first mask layer, a second mask layer and a patterned photoresist on the polysilicon layer; removing portions of the second mask layer and the first mask layer to form a hard mask by utilizing the patterned photoresist as an etching mask; removing the patterned photoresist, and next utilizing the hard mask as an etching mask to remove parts of the polysilicon layer and parts of the material layer. Thus, a gate stack is formed. Since the patterned photoresist is removed before forming the gate stack, the gate stack is protected from damages of the photoresist-removing process. The photoresist-removing process does not attack the sidewalls of the gate stack, so a bird's beak effect of the gate dielectric layer is prevent.
    Type: Grant
    Filed: August 16, 2012
    Date of Patent: July 23, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Che-Hua Hsu, Shao-Hua Hsu, Zhi-Cheng Lee, Cheng-Guo Chen
  • Patent number: 8486840
    Abstract: A method includes making a target feature of an integrated circuit by providing a main layer over a substrate, depositing a first mask layer over the main layer, patterning the first mask layer, forming sidewall spacers with a width (w) in adjoining sidewalls of the patterned first mask layer and exposing a top area of the patterned first mask layer, selectively removing the first mask layer and exposing a portion of the main layer between the sidewall spacers, depositing a second mask layer over the main layer between the sidewall spacers, selectively removing the sidewall spacers to form an opening and exposing another portion of the main layer in the opening, etching the main layer through the opening to form the target feature.
    Type: Grant
    Filed: November 11, 2011
    Date of Patent: July 16, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Jhon Jhy Liaw
  • Patent number: 8486741
    Abstract: The described process allows trenches to be etched in a structure comprising a support substrate and a multilayer, formed on the substrate, for the definition of wave guides of an integrated optical device and comprises a selective plasma attack in the multilayer through a masking structure that leaves uncovered areas of the multilayer corresponding to the trenches to be etched. Such a masking structure is obtained by forming a mask of metallic material on the multilayer that leaves uncovered the areas corresponding to the trenches to be etched and forming a mask of non-metallic material, for example photoresist, on it that leaves uncovered regions comprising at least part of the areas and an edge portion of the mask of metallic material.
    Type: Grant
    Filed: May 25, 2012
    Date of Patent: July 16, 2013
    Assignee: STMicroelectronics S.r.l.
    Inventors: Pietro Montanini, Giovanna Germani, Ilaria Gelmi, Marta Mottura
  • Patent number: 8481353
    Abstract: Various embodiments of the present disclosure pertain to separating nitride films from growth substrates by selective photo-enhanced wet oxidation. In one aspect, a method may transform a portion of a III-nitride structure that bonds with a first substrate structure into a III-oxide layer by selective photo-enhanced wet oxidation. The method may further separate the first substrate structure from the III-nitride structure.
    Type: Grant
    Filed: April 14, 2011
    Date of Patent: July 9, 2013
    Assignee: Opto Tech Corporation
    Inventors: Lung-Han Peng, Jeng-Wei Yu, Po-Chun Yeh
  • Publication number: 20130137271
    Abstract: The present invention is a silicon-containing resist underlayer film-forming composition containing a condensation product and/or a hydrolysis condensation product of a mixture comprising: one or more kinds of a compound (A) selected from the group consisting of an organic boron compound shown by the general formula (1) and a condensation product thereof and one or more kinds of a silicon compound (B) shown by the general formula (2). Thereby, there can be provided a silicon-containing resist underlayer film-forming composition being capable of forming a pattern having a good adhesion, forming a silicon-containing film which can be used as a dry-etching mask between a photoresist film which is the upperlayer film of the silicon-containing film and an organic film which is the underlayer film thereof, and suppressing deformation of the upperlayer resist during the time of dry etching of the silicon-containing film; and a patterning process.
    Type: Application
    Filed: November 2, 2012
    Publication date: May 30, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: SHIN-ETSU CHEMICAL CO., LTD.
  • Publication number: 20130137268
    Abstract: According to one embodiment, a method for pattern formation comprises forming a first pattern on a first region of a processed film, forming a reverse material film, having a photosensitive compound, on the processed film so that the reverse material film covers the first pattern, exposing and developing the reverse material film and processing the reverse material film into a second pattern in a second region different from the first region on the processed film, applying etch-back, after exposing and developing the reverse material film, to the reverse material film to expose an upper surface of the first pattern and processing the reverse material film into a third pattern in the first region, and etching the processed film using the second pattern and the third pattern as masks.
    Type: Application
    Filed: August 23, 2012
    Publication date: May 30, 2013
    Inventor: Yoshihisa KAWAMURA
  • Publication number: 20130072023
    Abstract: A method of controlled lateral etching is disclosed. In one embodiment, the method may comprise: forming on a first material layer, which comprises a protruding structure, a second material layer; forming spacers on outer surfaces of the second material layer opposite to vertical surfaces of the protruding structure; forming a third material layer on surfaces of the second material layer and the spacers; forming on the third material layer a mask layer which extends in a direction lateral to a surface of the first material layer; and laterally etching portions of the respective layers arranged on the vertical surfaces of the protruding structure.
    Type: Application
    Filed: November 23, 2011
    Publication date: March 21, 2013
    Inventors: Huilong Zhu, Zhijiong Luo, Haizhou Yin
  • Publication number: 20130034960
    Abstract: The present invention discloses a method of fabricating a semiconductor device. In the present invention, after the formation of a photo-resist mask on a substrate, the photo-resist is subjected to a plasma pre-treatment, and then etch is conducted. With the plasma pre-treatment, a line width roughness of a linear pattern of the photo-resist can be improved, and thus much better linear patterns can be formed on the substrate during the subsequent etching steps.
    Type: Application
    Filed: December 2, 2011
    Publication date: February 7, 2013
    Applicant: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING) CORPORATION
    Inventors: MINDA HU, DONGJIANG WANG, HAIYANG ZHANG
  • Publication number: 20130034965
    Abstract: In a method of fabricating patterns in an integrated circuit device, first mask patterns, sacrificial patterns, and second mask patterns are formed on a target layer such that the sacrificial patterns are provided between sidewalls of adjacent ones of the first and second mask patterns. The sacrificial patterns between the sidewalls of the adjacent ones of the first and second mask patterns are selectively removed using a dry etch-back process, and the target layer is patterned using the first and second mask patterns as a mask.
    Type: Application
    Filed: August 1, 2012
    Publication date: February 7, 2013
    Inventors: Hyoung-Hee KIM, Yool Kang, Song-Se Yi, Young-Ho Kim, Jae-Ho Kim
  • Patent number: 8367446
    Abstract: A method for preparing patterned substrate by using nano- or micro-particles is disclosed, which comprises the following steps: (A) providing a substrate with a photoresist layer formed thereon; (B) coating a surface of the photoresist layer with plural nano- or micro-particles, to form a particle layer; (C) exposing and developing the photoresist layer to obtain a patterned photoresist layer; and (D) removing the particle layer. In addition, after the particle layer is removed, the method of the present invention further comprises: (E1) using the patterned photoresist layer as an etching template to etch the substrate; and (E2) removing the patterned photoresist layer to obtain a patterned substrate with plural cavities formed thereon.
    Type: Grant
    Filed: May 18, 2010
    Date of Patent: February 5, 2013
    Assignee: National Central University
    Inventors: Chia-Hua Chan, Chia-Hung Hou, Tsing-Jen Chen, Chii-Chang Chen
  • Publication number: 20120329224
    Abstract: A method of forming a fine pattern and a method of manufacturing a semiconductor device. The method of forming a fine pattern includes: forming a hard mask layer on a to-be-etched layer; forming on the hard mask layer a first mask pattern including a plurality of elongated openings that are arranged at predetermined intervals in a first direction and a second direction different from the first direction and are offset from each other in adjacent columns in the second direction; forming on the hard mask layer a second mask pattern including at least two linear openings that each pass through the elongated openings in the adjacent columns and extend in the first direction; forming a hard mask pattern by etching the hard mask layer by using the second mask pattern as an etch mask; and etching the to-be-etched layer by using the hard mask pattern.
    Type: Application
    Filed: June 13, 2012
    Publication date: December 27, 2012
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Yoo-chul Kong, Jin-kwan Lee, Gyung-jin Min, Seong-soo Lee
  • Publication number: 20120301953
    Abstract: A graphene nanomesh includes a sheet of graphene having a plurality of periodically arranged apertures, wherein the plurality of apertures have a substantially uniform periodicity and substantially uniform neck width. The graphene nanomesh can open up a large band gap in a sheet of graphene to create a semiconducting thin film. The periodicity and neck width of the apertures formed in the graphene nanomesh may be tuned to alter the electrical properties of the graphene nanomesh. The graphene nanomesh is prepared with block copolymer lithography. Graphene nanomesh field-effect transistors (FETs) can support currents nearly 100 times greater than individual graphene nanoribbon devices and the on-off ratio, which is comparable with values achieved in nanoribbon devices, can be tuned by varying the neck width. The graphene nanomesh may also be incorporated into FET-type sensor devices.
    Type: Application
    Filed: January 28, 2011
    Publication date: November 29, 2012
    Applicant: THE REGENTS OF THE UNIVERSITY OF CALIFORNIA
    Inventors: Xiangfeng Duan, Yu Huang, Jingwei Bai