With Simultaneous Mechanical Treatment, E.g., Chemical-mechanical Polishing (epo) Patents (Class 257/E21.23)
  • Patent number: 8702472
    Abstract: A polishing composition contains at least abrasive grains and water and is used in polishing an object to be polished. The abrasive grains are selected so as to satisfy the relationship X1×Y1?0 and the relationship X2×Y2>0, where X1 [mV] represents the zeta potential of the abrasive grains measured during polishing of the object by using the polishing composition, Y1 [mV] represents the zeta potential of the object measured during polishing of the object by using the polishing composition, X2 [mV] represents the zeta potential of the abrasive grains measured during washing of the object after polishing, and Y2 [mV] represents the zeta potential of the object measured during washing of the object after polishing. The abrasive grains are preferably of silicon oxide, aluminum oxide, cerium oxide, zirconium oxide, silicon carbide, or diamond. The object is preferably of a nickel-containing alloy, silicon oxide, or aluminum oxide.
    Type: Grant
    Filed: March 8, 2011
    Date of Patent: April 22, 2014
    Assignee: Fujimi Incorporated
    Inventors: Hitoshi Morinaga, Kazusei Tamai, Hiroshi Asano
  • Publication number: 20140091477
    Abstract: A method for forming a field-effect transistor with a raised drain structure is disclosed. The method includes depositing a low-k inter-metal layer over a semiconductor substrate, depositing a porogen-containing low-k layer over the low-k inter-metal layer, and etching a space for the via through the low-k inter-metal layer and the porogen-containing low-k layer. The method further includes depositing a metal layer, a portion of the metal layer filling the space for the via, another portion of the metal layer being over the porogen-containing low-layer, removing the portion of the metal layer over the porogen-containing layer by a CMP process, and curing the porogen-containing low-k layer to form a cured low-k layer.
    Type: Application
    Filed: September 28, 2012
    Publication date: April 3, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yung-Hsu Wu, Shih-Kang Fu, Hsin-Chieh Yao, Chia-Min Lin, Hsiang-Huan Lee, Chung-Ju Lee, Hai-Ching Chen, Shau-Lin Shue
  • Publication number: 20140080304
    Abstract: An integrated tool to reduce defects in manufacturing a semiconductor device by reducing queue times during a manufacturing process. The integrated tool may include at least one a polishing tool comprising at least one polishing module and at least one deposition tool comprising at least one deposition chamber. At least one pump-down chamber may connect the polishing tool to the deposition tool. The at least one pump-down chamber includes a passage through which the semiconductor device is passed. Defects in the semiconductor device are reduced by reducing the queue time at various stages of the fabrication process.
    Type: Application
    Filed: September 14, 2012
    Publication date: March 20, 2014
    Applicant: STMICROELECTRONICS, INC.
    Inventor: John H. Zhang
  • Patent number: 8674382
    Abstract: A semiconductor light emitting device (10) comprises a semiconductor structure (12) comprising a first body (14) of a first semiconductor material (in this case Ge) comprising a first region of a first doping kind (in this case n) and a second body (18) of a second semiconductor material (in this case Si) comprising a first region of a second doping kind (in this case p). The structure comprises a junction region (15) comprising a first heterojunction (16) formed between the first body (14) and the second body (18) and a pn junction (17) formed between regions of the structure of the first and second doping kinds respectively. A biasing arrangement (20) is connected to the structure for, in use, reverse biasing the pn junction, thereby to cause emission of light.
    Type: Grant
    Filed: January 30, 2009
    Date of Patent: March 18, 2014
    Assignee: Insiava (Pty) Limited
    Inventors: Lukas Willem Snyman, Monuko Du Plessis
  • Publication number: 20140057439
    Abstract: A method of forming interlayer dielectric comprising the steps of forming a first undoped layer, forming in-situ and sequentially a doped layer and a second undoped layer on the first undoped layer, and planarizing the second undoped layer.
    Type: Application
    Filed: August 21, 2012
    Publication date: February 27, 2014
    Inventors: JIANDONG ZHANG, Han Chuan Fang, jianjun Zhang, Xiaowei Shu, MIAO ZHANG
  • Publication number: 20140042491
    Abstract: This description relates to a gate electrode of a field effect transistor. An exemplary structure for a field effect transistor includes a substrate; a gate electrode over the substrate including a first top surface and a sidewall; a source/drain (S/D) region at least partially disposed in the substrate on one side of the gate electrode; a spacer on the sidewall distributed between the gate electrode and the S/D region; and a contact etch stop layer (CESL) adjacent to the spacer and further comprising a portion extending over the S/D region, wherein the portion has a second top surface substantially coplanar with the first top surface.
    Type: Application
    Filed: August 10, 2012
    Publication date: February 13, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Neng-Kuo CHEN, Clement Hsingjen WANN, Yi-An LIN, Chun-Wei CHANG, Sey-Ping SUN
  • Patent number: 8647965
    Abstract: A method of producing a radiographic image detector includes: preparing a thin-film transistor substrate comprising an insulating substrate and a thin-film transistor that is disposed on a surface of the insulating substrate at a first side; attaching, to the thin-film transistor substrate, a protective member comprising a protective member support and an adhesive layer that includes conductive particles and that is disposed on the protective member support, such that the adhesive layer and a surface of the thin-film transistor substrate at the first side contact each other; polishing a surface of the thin-film transistor substrate at a second side opposite to the first side, after the attaching of the protective member; separating and removing the protective member from the thin-film transistor substrate after the polishing; and providing a scintillator layer on a surface of the thin-film transistor substrate at the first side, after the removing of the protective member.
    Type: Grant
    Filed: December 14, 2010
    Date of Patent: February 11, 2014
    Assignee: FUJIFILM Corporation
    Inventor: Keiichiro Sato
  • Patent number: 8647986
    Abstract: A semiconductor process includes the following steps. A first gate structure and a second gate structure are formed on a substrate, wherein the top of the first gate structure includes a cap layer, so that the vertical height of the first gate structure is higher than the vertical height of the second gate structure. An interdielectric layer is formed on the substrate. A first chemical mechanical polishing process is performed to expose the top surface of the cap layer. A second chemical mechanical polishing process is performed to expose the top surface of the second gate structure or an etching process is performed to remove the interdielectric layer located on the second gate structure. A second chemical mechanical polishing process is then performed to remove the cap layer.
    Type: Grant
    Filed: August 30, 2011
    Date of Patent: February 11, 2014
    Assignee: United Microelectronics Corp.
    Inventors: Po-Cheng Huang, Teng-Chun Tsai, Chia-Lin Hsu, Chun-Wei Hsu, Yen-Ming Chen, Chih-Hsun Lin, Chang-Hung Kung
  • Publication number: 20140038413
    Abstract: A dielectric layer is deposited on a working surface of a substrate, wherein the dielectric layer contains or consists of a dielectric polymer. The dielectric layer is partially cured. A portion of the partially cured dielectric layer is removed using a chemical mechanical polishing process. Then the curing of remnant portions of the partially cured dielectric layer is continued to form a dielectric structure. The partially cured dielectric layer shows high removal rates during chemical mechanical polishing. With remnant portions of the dielectric layer provided in cavities, high volume insulating structures can be provided in an efficient manner.
    Type: Application
    Filed: August 3, 2012
    Publication date: February 6, 2014
    Applicant: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventors: Gerhard Schmidt, Daniel Schloegl, Marcella Johanna Hartl, Philipp Sebastian Koch, Roland Strasser
  • Patent number: 8637403
    Abstract: A method of manufacturing a semiconductor structure includes varying local chemical mechanical polishing (CMP) abrading rates of an insulator film by selectively varying a carbon content of the insulator film.
    Type: Grant
    Filed: December 12, 2011
    Date of Patent: January 28, 2014
    Assignee: International Business Machines Corporation
    Inventors: Yoba Amoah, Graham M. Bates, Joseph P. Hasselbach, Thomas L. McDevitt, Eva A. Shah
  • Publication number: 20140024216
    Abstract: The present invention provides chemical-mechanical polishing (CMP) compositions suitable for polishing a substrate comprising a germanium-antimony-tellurium (GST) alloy. The CMP compositions of the present invention are aqueous slurries comprising a particulate abrasive, a water-soluble surface active agent, a complexing agent, and a corrosion inhibitor. The ionic character of the surface active material (e.g., cationic, anionic, or nonionic) is selected based on the zeta potential of the particulate abrasive. A CMP method for polishing a GST alloy-containing substrate utilizing the composition is also disclosed.
    Type: Application
    Filed: July 17, 2012
    Publication date: January 23, 2014
    Inventors: Matthias STENDER, Glenn WHITENER, Chul Woo NAM
  • Publication number: 20140017892
    Abstract: The present invention provides an acidic aqueous polishing composition suitable for polishing a silicon nitride-containing substrate in a chemical-mechanical polishing (CMP) process. The composition, at point of use, preferably comprises about 0.01 to about 2 percent by weight of at least one particulate ceria abrasive, about 10 to about 1000 ppm of at least one non-polymeric unsaturated nitrogen heterocycle compound, 0 to about 1000 ppm of at least one cationic polymer, optionally, 0 to about 2000 ppm of at least one polyoxyalkylene polymer, and an aqueous carrier therefor. The cationic polymer preferably is selected from a poly(vinylpyridine) polymer, a quaternary ammonium-substituted acrylate polymer, a quaternary ammonium-substituted methacrylate polymer, or a combination thereof. Methods of polishing substrates and of selectively removing silicon nitride from a substrate in preference to removal of polysilicon using the compositions are also provided.
    Type: Application
    Filed: July 11, 2012
    Publication date: January 16, 2014
    Inventor: William WARD
  • Publication number: 20140015107
    Abstract: Closed loop control may be used to improve uniformity of within wafer uniformity using chemical mechanical planarization. For example, closed loop control may be used to determine a control profile for a chemical mechanical planarization process to more uniformly and consistently achieve the desired extent of variation of within wafer uniformity of a semiconductor wafer.
    Type: Application
    Filed: July 12, 2012
    Publication date: January 16, 2014
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Ching-Kun Chen, Chun-Fu Chen, Chin-Ta Su
  • Publication number: 20140011348
    Abstract: A system and method for mitigating annealing fingerprints in semiconductor wafers is provided. An embodiment comprises aligning the semiconductor wafers prior to each annealing step. This alignment generates similar or identical fingerprints in each of the semiconductor wafers manufactured. With the fingerprint known, a single compensation model for a subsequent photoresist may be utilized to compensate for the fingerprint in each of the semiconductor wafers.
    Type: Application
    Filed: July 9, 2012
    Publication date: January 9, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Sen-Hong Syue, Chung-Chun Ho, Pu-Fang Chen, Shiang-Bau Wang
  • Patent number: 8623766
    Abstract: The invention provides a chemical-mechanical polishing composition comprising coated ?-alumina particles, an organic carboxylic acid, and water. The invention also provides a chemical-mechanical polishing composition comprising an abrasive having a negative zeta potential in the polishing composition, an organic carboxylic acid, at least one alkyldiphenyloxide disulfonate surfactant, and water, wherein the polishing composition does not further comprise a heterocyclic compound. The abrasive is colloidally stable in the polishing composition. The invention further provides methods of polishing a substrate with the aforesaid polishing compositions.
    Type: Grant
    Filed: September 20, 2011
    Date of Patent: January 7, 2014
    Assignee: Cabot Microelectronics Corporation
    Inventors: Ji Cui, Steven Grumbine, Glenn Whitener, Chih-An Lin
  • Patent number: 8603916
    Abstract: Chemical-Mechanical Polishing can be used to planarize a semiconductor wafer having a patterned overlapping layer. Isotropic etching can remove a portion of the patterned overlapping layer to produce tapered sidewalls of reduced height. A portion of the overlapping layer can be removed using CMP. The overlapping layer can have a higher polishing rate than the underlying layer so that the underlying layer remains substantially intact after removing the overlying layer.
    Type: Grant
    Filed: December 30, 2009
    Date of Patent: December 10, 2013
    Assignee: STMicroelectronics, Inc.
    Inventors: John H. Zhang, Paul Ferreira
  • Patent number: 8592316
    Abstract: A nitride semiconductor substrate includes two principal surfaces including an upper surface that is a growth face and a lower surface on its opposite side. An FWHM in a surface layer region at depths of from 0 to 250 nm from the upper surface is narrower than an FWHM in an inner region at depths exceeding 5 ?m from the upper surface, where the FWHMs are obtained by X-ray rocking curve measurement using diffraction off a particular asymmetric plane inclined relative to the upper surface.
    Type: Grant
    Filed: August 2, 2010
    Date of Patent: November 26, 2013
    Assignee: Hitachi Cable, Ltd.
    Inventors: Yuichi Oshima, Takehiro Yoshida
  • Patent number: 8592317
    Abstract: The polishing solution for CMP of the invention comprises abrasive grains, a first additive and water, wherein the first additive is at least 1,2-benzoisothiazole-3(2H)-one or 2-aminothiazole. The polishing method of the invention is a polishing method for a substrate having a silicon oxide film on the surface, and the polishing method comprises a step of polishing the silicon oxide film with a polishing pad while supplying the polishing solution for CMP between the silicon oxide film and the polishing pad.
    Type: Grant
    Filed: May 6, 2011
    Date of Patent: November 26, 2013
    Assignee: Hitachi Chemical Co., Ltd.
    Inventors: Eiichi Satou, Shigeru Nobe, Munehiro Oota, Masayuki Hanano, Shigeru Yoshikawa
  • Patent number: 8580690
    Abstract: A blanket stop layer is conformally formed on a layer with a large step height. A first chemical mechanical polishing process is performed to remove the blanket stop layer atop the layer in the raised region. A second chemical mechanical polishing process is performed to planarize the wafer using the blanket stop layer as a stop layer when the layer is lower than or at a same level as the blanket stop layer or using the layer as a stop layer when the blanket stop layer is lower than or at a same level as the layer, or a selective dry etch is performed to remove the layer in the raised region. Thus, the layer in the raised region can be easily removed without occurrence of dishing in the non-raised region which is protected by the blanket stop layer.
    Type: Grant
    Filed: April 6, 2011
    Date of Patent: November 12, 2013
    Assignee: Nanya Technology Corp.
    Inventors: Brett Busch, Gowri Damarla, Anurag Jindal, Chia-Yen Ho, Thy Tran
  • Patent number: 8575030
    Abstract: According to one embodiment, a semiconductor device manufacturing method is disclosed. The method can include polishing a film on a semiconductor substrate by pressing the film against a polishing pad. Polishing the film comprises performing first polishing in which an entrance temperature of the polishing pad is adjusted to 40° C. (inclusive) to 50° C. (inclusive), and an exit temperature of the polishing pad is adjusted to be higher by 5° C. or more than the entrance temperature. Polishing the film comprises performing second polishing in which the entrance temperature is adjusted to 30° C. or less, and the exit temperature is adjusted to be higher by 5° C. or more than the entrance temperature.
    Type: Grant
    Filed: August 2, 2011
    Date of Patent: November 5, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Gaku Minamihaba, Yukiteru Matsui, Nobuyuki Kurashima, Hajime Eda
  • Patent number: 8569148
    Abstract: The present invention provides a final polishing method for a silicon single crystal wafer that performs final polishing with a polishing rate being set to 10 nm/min or below at a final polishing step as a final step among a plurality of polishing steps for polishing the silicon single crystal wafer with a polishing slurry being interposed between the silicon single crystal wafer and a polishing pad, and a silicon single crystal wafer subjected to final polishing by this method. Hereby, there can be provided the final polishing method that can obtain a silicon single crystal wafer with less PIDs (Polishing Induced Defects) and the silicon single crystal wafer subjected to final polishing by this method.
    Type: Grant
    Filed: January 29, 2008
    Date of Patent: October 29, 2013
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Naoto Iizuka, Hirotaka Kurimoto, Koichi Kosaka, Fumiaki Maruyama
  • Publication number: 20130280909
    Abstract: A method for optimizing masks used for forming conductive features and a method for creating the mask features on an IC device are disclosed. An exemplary embodiment includes receiving a design database including a plurality of conductive features. First and second features suitable for joining are identified from the plurality of conductive features. A joined feature corresponding to the first and the second features is characterized. A cut shape configured to separate the first and second features from the joined feature is also characterized. The joined feature is categorized into a first conductive mask, the cut shape is categorized into a cut mask, and a third feature is categorized into a second conductive mask. The categorized shapes and features of the first conductive mask, the second conductive mask, and the cut mask are provided for manufacturing a mask set corresponding to the categorized shapes and features.
    Type: Application
    Filed: April 20, 2012
    Publication date: October 24, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yuan-Hsiang Lung, Kuei-Shun Chen, Meng-Wei Chen, Chia-Ying Lee
  • Patent number: 8563335
    Abstract: A method of controlling a polishing operation includes polishing a substrate, during polishing obtaining a sequence over time of measured spectra from the substrate with an in-situ optical monitoring system, for each measured spectrum from the sequence of measured spectra applying a Fourier transform to the measured spectrum to generate a transformed spectrum thus generating a sequence of transformed spectra, for each transformed spectrum identifying a peak of interest from a plurality of peaks in the transformed spectrum, for each transformed spectrum determining a position value for the peak of interest in the transformed spectrum thus generating a sequence of position values, and determining at least one of a polishing endpoint or an adjustment of a pressure to the substrate from the sequence of position values.
    Type: Grant
    Filed: April 23, 2012
    Date of Patent: October 22, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Dominic J. Benvegnu, Boguslaw A. Swedek
  • Patent number: 8546261
    Abstract: A polishing slurry includes an abrasive, a dispersion agent, a polish accelerating agent and an adhesion inhibitor. The adhesion inhibitor includes a benzene compound combined with a carboxyl group. Methods of planarizing an insulating layer using the slurry are also provided.
    Type: Grant
    Filed: February 24, 2011
    Date of Patent: October 1, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sangkyun Kim, NamSoo Kim, JongWoo Kim, Yun-Jeong Kim
  • Publication number: 20130252425
    Abstract: A method includes providing a first mask pattern over a substrate, forming first spacers adjoining sidewalls of the first mask pattern, removing the first mask pattern, forming second spacers adjoining sidewalls of the first spacers, forming a filling layer over the substrate and between the second spacers, and forming a second mask pattern over the substrate.
    Type: Application
    Filed: March 23, 2012
    Publication date: September 26, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chih-Han LIN, Ming-Ching CHANG, Ryan Chia-Jen CHEN, Yih-Ann LIN, Jr-Jung LIN
  • Patent number: 8540894
    Abstract: A polishing composition that can improve polishing property without foaming is provided. A polishing composition includes a pH regulator, a water-soluble polymer compound, and a compound containing an alkylene diamine structure having two nitrogens represented by the following general formula (1), and having at least one block type polyether bonded to the two nitrogens of the alkylene structure, the block type polyether having a bond of an oxyethylene group and an oxypropylene group: where R represents an alkylene group represented by CnH2n, in which n is an integer of 1 or more.
    Type: Grant
    Filed: September 29, 2008
    Date of Patent: September 24, 2013
    Assignee: Nitta Haas Incorporated
    Inventors: Takayuki Matsushita, Masashi Teramoto, Haruki Nojo
  • Publication number: 20130241075
    Abstract: Closed loop control may be used to improve uniformity of contact or via critical dimension using chemical mechanical planarization. For example, real-time closed loop control may be used to adjust oxide buffing or over-polishing time in a chemical mechanical planarization process to more uniformly and consistently achieve a target critical dimension of a semiconductor wafer.
    Type: Application
    Filed: March 13, 2012
    Publication date: September 19, 2013
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Chin-Tsan Yeh, Chun-Fu Chen, Yung-Tai Hung, Chin-Ta Su
  • Publication number: 20130244430
    Abstract: A method of fabricating a semiconductor device is disclosed. The exemplary method includes providing a substrate including a device layer and a sacrificial layer formed over the device layer and patterning the sacrificial layer thereby defining a cut pattern. The cut pattern of the sacrificial layer having an initial width. The method further includes depositing a mask layer over the device layer and over the cut pattern of the sacrificial layer. The method further includes patterning the mask layer thereby defining a line pattern including first and second portions separated by the cut pattern of the sacrificial layer and selectively removing the cut pattern of the sacrificial layer thereby forming a gap that separates the first and second portions of the line pattern of the mask layer. The method further includes patterning the device layer using the first and second portions of the line pattern of the mask layer.
    Type: Application
    Filed: March 15, 2012
    Publication date: September 19, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Chih-Han Lin
  • Patent number: 8536073
    Abstract: Hardmask films having high hardness and low stress are provided. In some embodiments a film has a stress of between about ?600 MPa and 600 MPa and hardness of at least about 12 GPa. In some embodiments, a hardmask film is prepared by depositing multiple sub-layers of doped or undoped silicon carbide using multiple densifying plasma post-treatments in a PECVD process chamber. In some embodiments, a hardmask film includes a high-hardness boron-containing film selected from the group consisting of SixByCz, SixByNz, SixByCzNw, BxCy, and BxNy. In some embodiments, a hardmask film includes a germanium-rich GeNx material comprising at least about 60 atomic % of germanium. These hardmasks can be used in a number of back-end and front-end processing schemes in integrated circuit fabrication.
    Type: Grant
    Filed: July 11, 2012
    Date of Patent: September 17, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Vishwanathan Rangarajan, George Andrew Antonelli, Ananda Banerji, Bart Van Schravendijk
  • Patent number: 8524035
    Abstract: Methods and apparatus provide for a conformable polishing head for uniformly polishing a workpiece. The polishing head includes an elastic polishing pad mounted on an elastic membrane that seals a cavity in the polishing head. The cavity is pressurized to expand the membrane and press the polishing pad down on the top surface of the workpiece, such that the polishing pad conforms to the surface and applies a substantially uniform pressure distribution across the workpiece and thereby uniformly removes material across high and low spots on the workpiece.
    Type: Grant
    Filed: November 30, 2009
    Date of Patent: September 3, 2013
    Assignee: Corning Incorporated
    Inventors: Gregory Eisenstock, Anurag Jain
  • Patent number: 8518297
    Abstract: The present invention provides a polishing composition that can be suitably used in polishing of polysilicon, and a polishing method using the polishing composition. The polishing composition contains abrasive grains and an anionic surfactant having a monooxyethylene group or a polyoxyethylene group and has a pH of 9 to 12. If the anionic surfactant contained in the polishing composition has a polyoxyethylene group, the number of repeating oxyethylene units in the polyoxyethylene group is preferably 2 to 8. The anionic surfactant contained in the polishing composition can be an anionic surfactant that has a phosphate group, a carboxy group, or a sulfo group as well as a monooxyethylene group or a polyoxyethylene group. The content of the anionic surfactant in the polishing composition is preferably 20 to 500 ppm.
    Type: Grant
    Filed: January 30, 2009
    Date of Patent: August 27, 2013
    Assignee: Fujimi Incorporated
    Inventors: Mikikazu Shimizu, Tomohiko Akatsuka, Kazuya Sumita
  • Patent number: 8506831
    Abstract: A combination, composition and associated method for chemical mechanical planarization of a tungsten-containing substrate are described herein which afford tunability of tungsten/dielectric selectivity and low selectivity for tungsten removal in relation to dielectric material. Removal rates for both tungsten and dielectric are high and stability of the slurry (e.g., with respect to pH drift over time) is high.
    Type: Grant
    Filed: December 3, 2009
    Date of Patent: August 13, 2013
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Dianne Rachel McConnell, Ann Marie Hurst
  • Publication number: 20130196506
    Abstract: In accordance with an embodiment of the present invention, a method of polishing a device includes providing a layer having a non-uniform top surface. The non-uniform top surface includes a plurality of protrusions. The method further includes removing the plurality of protrusions by exposing the layer to a fluid that has gas bubbles and a liquid.
    Type: Application
    Filed: February 1, 2012
    Publication date: August 1, 2013
    Applicant: Infineon Technologies AG
    Inventor: Johann Kosub
  • Publication number: 20130189841
    Abstract: A method for forming an integrated circuit is provided. In one embodiment, the method includes forming a stop layer comprising carbon doped silicon nitride on a gate region on a substrate, the gate region having a poly gate and one or more spacers formed adjacent the poly gate, forming a dielectric layer on the stop layer, and removing a portion of the dielectric layer above the gate region using a CMP process, wherein the stop layer is a strain inducing layer having a CMP removal rate that is less than the CMP removal rate of the dielectric layer and equal to or less than the CMP removal rate of the one or more spacers.
    Type: Application
    Filed: January 20, 2012
    Publication date: July 25, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Mihaela Balseanu, Li-Qun Xia, Derek R. Witty, Thomas H. Osterheld, Christopher Heung-Gyun Lee, William H. McClintock
  • Publication number: 20130164937
    Abstract: The embodiments describe systems and methods for combinatorial processing of a substrate. In some embodiments, chemical mechanical polishing (CMP) techniques are combinatorially processed and evaluated. The CMP system is capable of providing a localized planarization surface to at least a region of a substrate being combinatorially processed. In some embodiments, the CMP system comprises a reactor assembly having plurality of reaction chambers, with at least a reaction chamber comprising a rotatable polishing head, slurry and chemical distribution, chemical and water rinse, and slurry and fluid removal. Accordingly, from a single substrate, a variety of materials, process conditions, and process sequences may be evaluated for desired planarization results.
    Type: Application
    Filed: December 21, 2011
    Publication date: June 27, 2013
    Applicant: Intermolecular, Inc.
    Inventor: Glen Egami
  • Publication number: 20130157464
    Abstract: According to one embodiment, a planarizing method is proposed. In the planarizing method, a surface to be processed of an object to be processed including a silicon oxide film is planarized in a processing solution by bringing the surface to be processed into contact with or close proximity with the surface of a solid-state plate on which fluorine is adsorbed. The bonding energy between fluorine and the solid-state plate is lower than that between fluorine and silicon.
    Type: Application
    Filed: September 5, 2012
    Publication date: June 20, 2013
    Inventors: Akifumi GAWASE, Yukiteru Matsui
  • Publication number: 20130147067
    Abstract: A method of manufacturing a semiconductor structure includes varying local chemical mechanical polishing (CMP) abrading rates of an insulator film by selectively varying a carbon content of the insulator film.
    Type: Application
    Filed: December 12, 2011
    Publication date: June 13, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Yoba AMOAH, Graham M. BATES, Jospeh P. HASSELBACH, Thomas L. MCDEVITT, Eva A. SHAH
  • Publication number: 20130137263
    Abstract: A novel polishing pad is described. The polishing pad includes a base plate, a main polishing body, a plurality of metal bottom portions, a positive electrode conductive wire and a negative electrode conductive wire. The main polishing body made from a non-conductive material and disposed on the base plate includes a plurality of cavities thereon. The metal bottom portions are disposed in the cavities with each of the cavities having one of the metal bottom portions therein. The positive electrode conductive wire electrically is connected to a positive electrode of a power supply. The negative electrode conductive wire electrically is connected to a negative electrode of the power supply. The positive electrode conductive wire and the negative electrode conductive wire alternatively pass through the base plate and connect to the metal bottom portions respectively.
    Type: Application
    Filed: May 27, 2012
    Publication date: May 30, 2013
    Applicant: National Taiwan University of Science and Technology
    Inventors: Chao-Chang Chen, Chi Hsiang Hsieh
  • Publication number: 20130137264
    Abstract: A semiconductor device manufacturing method, comprising: providing a semiconductor substrate, on which a gate conductor layer as well as a source region and a drain region positioned on both sides of the gate conductor layer are provided, forming an etch stop layer on the semiconductor substrate, forming an LTO layer on the etch stop layer, chemical mechanical polishing the LTO layer, forming an SOG layer on the polished LTO layer, the etch stop layer, LTO layer and SOG layer forming a front metal insulating layer, back etching the SOG layer and etch stop layer of the front metal insulating layer to expose the gate conductor layer, and removing the gate conductor layer.
    Type: Application
    Filed: November 28, 2011
    Publication date: May 30, 2013
    Inventors: Huaxiang Yin, Qiuxia Xu, Lingkuan Meng, Dapeng Chen
  • Patent number: 8445360
    Abstract: A method for manufacturing a semiconductor device makes it possible to efficiently polish with a polishing tape a peripheral portion of a silicon substrate under polishing conditions particularly suited for a deposited film and for silicon underlying the deposited film. The method includes pressing a first polishing tape against a peripheral portion of a device substrate having a deposited film on a silicon surface while rotating the device substrate at a first rotational speed, thereby removing the deposited film lying in the peripheral portion of the device substrate and exposing the underlying silicon. A second polishing tape is pressed against the exposed silicon lying in the peripheral portion of the device substrate while rotating the device substrate at a second rotational speed, thereby polishing the silicon to a predetermined depth.
    Type: Grant
    Filed: February 15, 2011
    Date of Patent: May 21, 2013
    Assignees: Ebara Corporation, Kabushiki Kaisha Toshiba
    Inventors: Masayuki Nakanishi, Tetsuji Togawa, Kenya Ito, Masaya Seki, Kenji Iwade, Takeo Kubota
  • Patent number: 8445386
    Abstract: A smoothing method for semiconductor material and semiconductor wafers produced by the method are disclosed. Semiconductor wafers with reduced atomic steps, as well with reduced scratches and subsurface defects can be produced. Such wafers feature an improved growth surface that can provide for the growth of an epilayer with reduced macroscopic defects and defect densities. A method of smoothing the surface of a wafer according to example embodiments of the invention includes planarizing the surface of a semiconductor wafer, and then oxidizing the wafer to achieve a specified thickness of oxide on the surface of the wafer. The oxide can then be stripped from the surface of the semiconductor wafer.
    Type: Grant
    Filed: May 27, 2010
    Date of Patent: May 21, 2013
    Assignee: Cree, Inc.
    Inventors: Davis Andrew McClure, Nathaniel Mark Williams
  • Publication number: 20130109182
    Abstract: A process for chemical mechanical polishing of a substrate having a polysilicon overburden deposited over silicon nitride is provided using multiple dilutions of a chemical mechanical polishing composition concentrate to polish the substrate, wherein a first dilution of the concentrate used to polish the substrate is tuned to exhibit a first polysilicon removal rate and a first polysilicon to silicon nitride removal rate selectivity; and wherein a second dilution of the concentrate used to polish the substrate is tuned to exhibit a second polysilicon removal rate and a second polysilicon to silicon nitride removal rate selectivity.
    Type: Application
    Filed: October 27, 2011
    Publication date: May 2, 2013
    Inventors: Yi Guo, Kancharla-Arun Kumar Reddy
  • Publication number: 20130109181
    Abstract: A process for chemical mechanical polishing of a substrate having a polysilicon overburden deposited over silicon dioxide is provided using multiple dilutions of a chemical mechanical polishing composition concentrate to polish the substrate, wherein a first dilution of the concentrate used to polish the substrate is tuned to exhibit a first polysilicon removal rate and a first polysilicon to silicon dioxide removal rate selectivity; and wherein a second dilution of the concentrate used to polish the substrate is tuned to exhibit a second polysilicon removal rate and a second polysilicon to silicon dioxide removal rate selectivity.
    Type: Application
    Filed: October 27, 2011
    Publication date: May 2, 2013
    Inventors: Yi Guo, Kancharla-Arun Kumar Reddy
  • Patent number: 8431490
    Abstract: A method for chemical mechanical polishing of a substrate is provided, comprising: providing a substrate, wherein the substrate comprises silicon oxide; providing a chemical mechanical polishing composition, comprising, as initial components: water; an abrasive; and a substance according to formula I wherein R1, R2 and R3 are each independently selected from a C1-4 alky group; providing a chemical mechanical polishing pad with a polishing surface; moving the polishing surface relative to the substrate; dispensing the chemical mechanical polishing composition onto the polishing surface; and, abrading at least a portion of the substrate to polish the substrate; wherein the substance according to formula I included in the chemical mechanical polishing composition provides an enhanced silicon oxide removal rate and an improved polishing defectivity performance; and, wherein at least some of the silicon oxide is removed from the substrate.
    Type: Grant
    Filed: March 31, 2010
    Date of Patent: April 30, 2013
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Yi Guo, Zhendong Liu, Kancharla-Arun Kumar Reddy, Guangyun Zhang
  • Publication number: 20130102152
    Abstract: A semiconductor manufacturing apparatus includes at least one inner retaining ring, and an outer retaining ring. The at least one inner retaining ring applies a first pressure to the polishing pad, and retains a substrate on the polishing pad. The outer retaining ring applies a second pressure to the polishing pad, and retains the at least one inner retaining ring on the polishing pad. Control of the first pressure is independent with respect to control of the second pressure.
    Type: Application
    Filed: October 20, 2011
    Publication date: April 25, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yen-Chang CHAO, Kei-Wei CHEN, Ying-Lang WANG
  • Publication number: 20130095661
    Abstract: According to one embodiment, a CMP method includes starting a polishing of a silicon oxide film by using a slurry including a silicon oxide abrasive and a polishing stopper film including a silicon nitride film, and stopping the polishing when the polishing stopper is exposed. The slurry includes a first water-soluble polymer with a weight-average molecular weight of 50000 or more and 5000000 or less, and a second water-soluble polymer with a weight-average molecular weight of 1000 or more and 10000 or less.
    Type: Application
    Filed: March 23, 2012
    Publication date: April 18, 2013
    Inventors: Akifumi GAWASE, Yukiteru Matsui, Gaku Minamihaba, Hajime Eda
  • Patent number: 8420550
    Abstract: A method for manufacturing semiconductor substrates. The method includes providing a semiconductor wafer, which has an upper surface, a backside surface, and an edge region around a periphery of the semiconductor wafer. In a preferred embodiment, the upper surface is often for the manufacture of the integrated circuit device elements themselves. The method includes subjecting the semiconductor wafer to one or more process steps to form one or more films of materials on the backside surface. The method mounts the semiconductor wafer to expose the backside surface. The method rotates the semiconductor wafer in a circular manner. In a specific embodiment, the method includes supplying an acid solution containing fluorine bearing species, a nitric acid species, a surfactant species, and an organic acid species, on at least the backside surface as the semiconductor wafer rotates.
    Type: Grant
    Filed: December 15, 2006
    Date of Patent: April 16, 2013
    Assignees: Semiconductor Manufacturing International (Shanghai) Corporation, Semiconductor Manufacturing International (Beijing) Corporation
    Inventors: Yan Wu Chang, Tek Sing Lim
  • Patent number: 8420505
    Abstract: A process to thin semiconductor wafers to less than 50 microns employs a dissolvable photoresist or polyimide or other glue material to hold a thick carrier plate such as a perforated glass to the top surface of a thick processed wafer and to grind or otherwise remove the bulk of the wafer from its rear surface, leaving only the preprocessed top surface, which may include semiconductor device diffusions and electrodes. A thick metal such as copper or a more brittle copper alloy is then conductively secured to the ground back surface and the glue is dissolved and the carrier plate is removed. The wafer is then cleaned and diced into plural devices such as MOSFETs; integrated circuits and the like.
    Type: Grant
    Filed: March 26, 2007
    Date of Patent: April 16, 2013
    Assignee: International Rectifier Corporation
    Inventor: Igor Bol
  • Publication number: 20130084702
    Abstract: A chemical mechanical polishing pad comprising an acrylate polyurethane polishing layer, wherein the polishing layer exhibits a tensile modulus of 65 to 500 MPa; an elongation to break of 50 to 250%; a storage modulus, G?, of 25 to 200 MPa; a Shore D hardness of 25 to 75; and a wet cut rate of 1 to 10 ?m/min.
    Type: Application
    Filed: September 29, 2011
    Publication date: April 4, 2013
    Inventors: Jia Xie, David B. James, Chau H. Duong
  • Publication number: 20130078811
    Abstract: A composition and a method for chemical mechanical polishing. The composition includes a surfactant anion an alkyl alcohol and a diluent. The composition further includes abrasive particles and an oxidizer. The method includes providing the composition on a surface to be polished and polishing the surface by contacting the surface with a polishing pad.
    Type: Application
    Filed: September 23, 2011
    Publication date: March 28, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Graham M. Bates, Michael T. Brigham, Joseph K. Comeau, Jason P. Ritter, Matthew T. Tiersch, Eva A. Shah, Eric J. White