Consisting Of Lead-in Layers Inseparably Applied To Semiconductor Body (epo) Patents (Class 257/E23.012)
  • Patent number: 7675158
    Abstract: Provided are a semiconductor integrated circuit including a power supply, a semiconductor system including the semiconductor integrated circuit, and a method of forming the semiconductor integrated circuit. The semiconductor integrated circuit includes: a semiconductor substrate on a surface of which a plurality of electrical circuits and a plurality of power pads are mounted; an insulation layer stacked on the semiconductor substrate; a first conductive layer connected to a first power pad by a first via and stacked on the insulation layer; a second conductive layer connected to a second power pad by a second via, stacked on the insulation layer, and separated from the first insulation layer; and a power generation layer stacked on the first conductive layer and the second conductive layer and that generates voltage.
    Type: Grant
    Filed: June 7, 2006
    Date of Patent: March 9, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyu-Hyoun Kim, Chang-Hyun Kim
  • Publication number: 20100052180
    Abstract: The invention relates to a semiconductor device manufactured in a process technology, the semiconductor device having at least one wire (135) located in an interconnect layer of said semiconductor device, the at least one wire (135) having a wire width (W) and a wire thickness (T), the wire width (W) being equal to a minimum feature size of the interconnect layer as defined by said process technology, wherein the minimum feature size is smaller than or equal to 0.32 ?m, wherein the aspect ratio (AR) of the at least one wire (135?) is smaller than 1.5, the aspect ratio (AR) being defined as the wire thickness (T) divided by the wire width (W). The invention further discloses a method of manufacturing such a semiconductor device.
    Type: Application
    Filed: June 15, 2007
    Publication date: March 4, 2010
    Applicant: NXP B.V.
    Inventors: Viet Nguyen Hoang, Phillip Christie, Julien M.M. Michelon
  • Patent number: 7666747
    Abstract: A method that suppresses etching damage without increasing a chip area of a semiconductor device. An integrated circuit including a MOS transistor is formed in a device area, and a discharge diffusion region is formed in a device area, and a discharge diffusion region is formed in a grid area. The discharge diffusion region is connected to a metal wiring of the integrated circuit via a contact hole. Therefore, when the metal wiring is formed by a dry etching method, an electric charge stored in the metal wiring is discharged to a semiconductor substrate through the discharge diffusion region. Thus, etching damage of the MOS transistor is reduced. Since the discharge diffusion region and the contact hole are formed within the grid area, they are cut off by a dicing process, thus causing no increase in chip area of the semiconductor device.
    Type: Grant
    Filed: August 25, 2006
    Date of Patent: February 23, 2010
    Assignee: Oki Semiconductor Co., Ltd.
    Inventors: Keisuke Oosawa, Hideyuki Ando
  • Publication number: 20100001394
    Abstract: A chip package comprises a semiconductor chip, a plurality of pins coupled to the semiconductor chip, and a conductive structure configured to form an electrical connection between the pins, wherein the electrical connection is configured to be disabled as the chip package is inserted into a socket. Since the pins are electrically connected by the conductive structure, the surge current caused by an ESD event can be distributed to all pins rather than to a single pin as the ESD event occurs. Consequently, all ESD protection circuits connected to the pins can be used to dissipate the surge current during the ESD event, and the circuit damage caused by the ESD can be dramatically reduced.
    Type: Application
    Filed: July 3, 2008
    Publication date: January 7, 2010
    Applicant: PROMOS TECHNOLOGIES INC.
    Inventors: LI PENG CHANG, JUNG CHUN LIN
  • Patent number: 7642551
    Abstract: A wafer-level package includes a semiconductor wafer having at least one semiconductor chip circuit forming region each including a semiconductor chip circuit each provided with test chip terminals and non test chip terminals, at least one external connection terminal, at least one redistribution trace provided on the semiconductor wafer, at least one testing member, and an insulating material. A first end of the redistribution trace is connected to one of the test chip terminals and a second end of said redistribution trace is extended out to a position offset from the chip terminals. The testing member is provided in an outer region of the semiconductor chip circuit forming region, and the second end of the redistribution trace is connected to the testing member.
    Type: Grant
    Filed: June 10, 2008
    Date of Patent: January 5, 2010
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Shigeyuki Maruyama
  • Patent number: 7638419
    Abstract: Various embodiments include a method of forming an interconnect comprising forming at least two vias in a substrate, forming a conductive pad on a surface of the substrate, forming at least one tapered conductive segment on the surface of the substrate coupled to the conductive pad, wherein only a first via of the at least two vias is formed substantially beneath the conductive pad and is coupled to the conductive pad, a second via of the at least two vias is coupled to the conductive pad by a first one of the at least one tapered conductive segments, the first one of the tapered conductive segments having a first end having a first width and a second end having a second width, the first end being connected to the second via and the second end being connected to the conductive pad, the first width being less than the second width.
    Type: Grant
    Filed: November 30, 2007
    Date of Patent: December 29, 2009
    Assignee: Intel Corporation
    Inventor: Erik W. Jensen
  • Publication number: 20090309212
    Abstract: A semiconductor device is made by forming a first conductive layer over a sacrificial carrier. A conductive pillar is formed over the first conductive layer. An active surface of a semiconductor die is mounted to the carrier. An encapsulant is deposited over the semiconductor die and around the conductive pillar. The carrier and adhesive layer are removed. A stress relief insulating layer is formed over the active surface of the semiconductor die and a first surface of the encapsulant. The stress relief insulating layer has a first thickness over the semiconductor die and a second thickness less than the first thickness over the encapsulant. A first interconnect structure is formed over the stress relief insulating layer. A second interconnect structure is formed over a second surface of encapsulant opposite the first interconnect structure. The first and second interconnect structures are electrically connected through the conductive pillar.
    Type: Application
    Filed: June 9, 2009
    Publication date: December 17, 2009
    Applicant: STATS CHIPPAC, LTD.
    Inventors: Il Kwon Shim, Seng Guan Chow, Yaojian Lin
  • Publication number: 20090294757
    Abstract: The present invention relates to nanoscaled electronic devices with a vertical nanowire as a functional part. Contacts are arranged on the nanowire at different parts of the nanowire, for example drain and source contacts. In connection to the nanowire contacts are external electrodes, that connect at different levels, as seen from the substrate, of the device. The external electrodes are elongated, and typically and preferably stripe-like. According to the invention a first external electrode, or contacts, associated with contact(s) at a first part of the nanowire, and a second external electrode, associated with contact(s) at a second part of the nanowire are arranged in a cross-bar configuration. The cross-bar configuration minimizes the overlay of the external electrodes, hence, parasitic capacitances and current leakage can be reduced, and the performance of the device improved.
    Type: Application
    Filed: June 16, 2006
    Publication date: December 3, 2009
    Inventors: Lars-Erik Wernersson, Tomas Bryllert, Erik Lind, Lars Samuelson
  • Patent number: 7626268
    Abstract: Support structures for semiconductor devices and methods of manufacturing thereof are disclosed. In some embodiments, the support structures include a plurality of support members that is formed in a substantially annular shape beneath a wire bond region. The central region inside the substantially annular shape of the plurality of support members may be used to route functional conductive lines for making electrical contact to active areas of the semiconductor device. Dummy support structures may optionally be formed between the functional conductive lines. The support structures may be formed in one or more conductive line layers and semiconductive material layers of a semiconductor device. In other embodiments, support members are not formed in an annular shape, and are formed in insulating layers that do not comprise low dielectric constant (k) materials.
    Type: Grant
    Filed: October 12, 2005
    Date of Patent: December 1, 2009
    Assignee: Infineon Technologies AG
    Inventors: Thomas Goebel, Erdem Kaltalioglu, Sun-Oo Kim
  • Publication number: 20090284881
    Abstract: A semiconductor package includes an electrostatic discharge rail capable of being coupled to a first conductive contact and a second conductive contact, a first portion of a voltage triggerable material between the electrostatic discharge rail and the first conductive contact; and a second portion of the voltage triggerable material between the electrostatic discharge rail and the second conductive contact. The first and second conductive contacts may be coupled to the same semiconductor device or different semiconductor devices.
    Type: Application
    Filed: May 15, 2008
    Publication date: November 19, 2009
    Inventors: Sergio A. Ajuria, Melanie Etherton, Marc A. Mangrum
  • Patent number: 7619309
    Abstract: A connection arrangement having an outer conductive structure arranged at least partly or completely in a cutout of an electrical insulation layer is provided. An inner conductive structure is arranged at the bottom of the cutout on one side of the insulation layer. The inner conductive structure adjoins the outer conductive structure in a contact zone. A contact area is arranged at the outer conductive structure on the other side of the cutout. The contact zone and the contact area do not overlap. The bottom of the cutout is arranged to overlaps at least half of the contact area, to provide a step in the insulation layer at the edge of the cutout outside a main current path between the contact area and the inner conductive structure.
    Type: Grant
    Filed: February 9, 2006
    Date of Patent: November 17, 2009
    Assignee: Infineon Technologies AG
    Inventors: Stefan Drexl, Thomas Goebel, Johann Helneder, Martina Hommel, Wolfgang Klein, Heinrich Kôrner, Andrea Mitchell, Markus Schwerd, Martin Seck
  • Publication number: 20090273093
    Abstract: A semiconductor device includes a substrate having a first side and a second side and an epitaxial layer disposed over the second side. The device also includes a conductive via extending through the epitaxial layer to the second side and comprising a conductive contact; and a bond pad disposed over the epitaxial layer and comprising a conductive material, wherein the semiconductor is not provided in a package.
    Type: Application
    Filed: April 30, 2008
    Publication date: November 5, 2009
    Applicant: Avago Technologies Wireless IP (Singapore) Pte. Ltd.
    Inventors: William J. Lypen, Rick D. Snyder
  • Publication number: 20090206472
    Abstract: A COF packaging structure includes a substrate, a first conductive foil, and a second conductive foil. The substrate has a first surface and a second surface opposite to the first surface. The first conductive foil is disposed on the first surface of the substrate and has a first designated pattern for bump bonding. The second conductive foil is disposed on the second surface of the substrate and has a second designated pattern, wherein the area of the second designated pattern is not smaller than the area of the first designated pattern.
    Type: Application
    Filed: March 28, 2008
    Publication date: August 20, 2009
    Inventors: Chiu-Shun Lin, Pai-Sheng Cheng
  • Patent number: 7569917
    Abstract: A semiconductor device includes a semiconductor chip, an insulating base film and first projecting electrodes. The first projecting electrodes are formed in a single row on one face of the semiconductor chip along the edge of the semiconductor chip. This face of the semiconductor chip faces a semiconductor chip mounting face of the base film. The semiconductor device also includes second projecting electrodes formed in a single row outside the row of first projecting electrodes. The height of the second projecting electrodes is smaller than the first projecting electrodes. The semiconductor device also includes first inner leads formed on the semiconductor chip mounting face of the base film. The first inner lead extend to the first projecting electrodes. The semiconductor device also includes an insulating film formed between the first inner leads and the semiconductor chip. The semiconductor device also includes second inner leads formed on the insulating film.
    Type: Grant
    Filed: May 18, 2007
    Date of Patent: August 4, 2009
    Assignee: Oki Semiconductor Co., Ltd.
    Inventors: Masahiko Sugihara, Fumihiko Ooka
  • Publication number: 20090184414
    Abstract: A wafer level chip scale package having an enhanced heat exchange efficiency with an EMF shield is presented. The wafer level chip scale package includes a semiconductor chip, an insulation layer, and a metal plate. The semiconductor chip has a plurality of bonding pads on an upper face thereof. The insulation layer is disposed over the upper face of the semiconductor chip and has openings that expose some portions of the bonding pads. The metal plate covers an upper face of the insulation layer and side faces of the semiconductor chip in which the metal plate is electrically insulated from the bonding pads.
    Type: Application
    Filed: December 31, 2008
    Publication date: July 23, 2009
    Inventors: Chang Jun PARK, Kwon Whan HAN, Seong Cheol KIM, Sung Min KIM, Hyeong Seok CHOI, Ha Na LEE, Tac Keun OH, Sang Joon LIM
  • Publication number: 20090166856
    Abstract: A semiconductor device is provided whereby the signal interference among a plurality of function blocks is reduced. In a semiconductor device having a CSP structure, an integrated circuit containing a plurality of function blocks is formed on a semiconductor substrate. A plurality of external electrodes are classified into a plurality of groups of external electrodes according to function blocks connected, and are arranged in a plurality of divided regions for each of the plurality of groups of external electrodes. Rewirings connected to external electrodes of low impedance are placed in a boundary area between the plurality of regions.
    Type: Application
    Filed: November 25, 2005
    Publication date: July 2, 2009
    Inventor: Yuki Iwata
  • Publication number: 20090160066
    Abstract: Semiconductor elements and methods for fabricating semiconductor elements that allow semiconductor elements having the same function to utilize different packaging methods. An exemplary semiconductor element includes a first semiconductor element portion, including an internal circuit, electrodes electrically connected to the internal circuit, and a first insulating layer covering the internal circuit while exposing the electrodes; and a second semiconductor element portion electrically connected to the electrodes and formed on the first insulating layer, the second semiconductor element portion including a wiring layer having a first pad and a second pad, and a second insulating layer configured to cover either one of the first pad or the second pad while exposing the other one of the first pad and the second pad.
    Type: Application
    Filed: December 19, 2008
    Publication date: June 25, 2009
    Inventor: Junichi Ikeda
  • Publication number: 20090152595
    Abstract: There are provided a semiconductor device having a pattern which allows electric failures to be sensitively detected at high speeds, and a method of testing the same. In one embodiment, the semiconductor device comprises a pair of row wires including a plurality of first wires arranged in a first layer at predetermined intervals in a row direction, where the first wires have ends connected to second wires arranged in a second layer at a predetermined intervals through vias, and the first wire and second wire are at the same potential. In the pair of row wires, a first wire positioned at a right end of one row wire is connected to a first conductor, and a first wire positioned at a left end in the other row wire is connected to a second conductor. By sequentially scanning the first conductor and second conductor using an electron beam, a change in the amount of emitted secondary electrons due to a difference in potential between these conductors is detected to detect electric anomalies.
    Type: Application
    Filed: September 8, 2006
    Publication date: June 18, 2009
    Applicant: EBARA CORPORATION
    Inventors: Toru Kaga, Yoshihiko Naito, Masatoshi Tsuneoka, Kenji Terao, Nobuharu Noji, Ryo Tajima
  • Publication number: 20090146301
    Abstract: A semiconductor device capable of realizing highly reliable three-dimensional mounting, and a method of manufacturing the same, are provided. A projected electrode 9 is formed in a region outside of an element mounting region of a substrate 5. The projected electrode 9 includes a protruding portion that protrudes from the front face of a molding resin portion 10. The distal end of the protruding portion is a flat face 13. In addition, a portion of the projected electrode 9 whose cross section is larger than the protruding portion is positioned inside the molding resin portion 10.
    Type: Application
    Filed: December 10, 2008
    Publication date: June 11, 2009
    Applicant: Panasonic Corporation
    Inventors: Yoshiaki Shimizu, Yuichiro Yamada, Toshiyuki Fukuda
  • Publication number: 20090146239
    Abstract: A photodiode balanced in increased sensitivity and speed. The photodiode includes a semiconductor substrate, an active region formed on the semiconductor substrate, and a comb electrode connected to the active region. The comb electrode includes a plurality of electrode fingers, and each of the electrode fingers includes a transparent electrode contacting the active region, and an opaque electrode formed on the transparent electrode. Here, the width of the opaque electrode is set smaller than the width of the transparent electrode.
    Type: Application
    Filed: December 5, 2007
    Publication date: June 11, 2009
    Applicants: FUJIFILM CORPORATION, MASSACHUSETTS INSTITUTE OF TECHNOLOGY
    Inventors: Yukiya MIYACHI, Wojciech P. GIZIEWICZ, Jurgen MICHEL, Lionel C. KIMERLING
  • Publication number: 20090140432
    Abstract: A semiconductor interconnection comprises a semiconductor device, a substrate adjacent the semiconductor device, and a plurality of spring contacts on the semiconductor device or the substrate. A plurality of solder connections are on the opposite semiconductor device or substrate. Each spring contact comprises a contact surface and a conductive material on the contact surface. Upon assembly of the semiconductor device and the substrate, the conductive material on the plurality of spring contacts makes contact with each of the plurality of solder connections. The conductive material is in a liquid state at manufacturing or operating temperatures of the semiconductor device. Thus, the conductive material could be a solid at room temperature and transition to a liquid state at the semiconductor's manufacturing or operating temperatures.
    Type: Application
    Filed: November 29, 2007
    Publication date: June 4, 2009
    Inventors: Mukta G. Farooq, John A. Fitzsimmons, Thomas J. Fleischman
  • Publication number: 20090127709
    Abstract: A semiconductor device according to the present invention includes: a semiconductor chip; a wiring formed on the semiconductor chip; a passivation film, coating the wiring and having an opening for partially exposing the wiring from the passivation film; an interposing film, formed on a portion of the wiring facing the opening; and a post bump, raisedly formed on the interposing film and with a peripheral edge portion thereof protruding more toward a side than a peripheral edge of the interposing film.
    Type: Application
    Filed: November 17, 2008
    Publication date: May 21, 2009
    Applicant: ROHM CO., LTD.
    Inventor: Katsumi SAMESHIMA
  • Patent number: 7531457
    Abstract: A method of fabricating a suspended structure. First, a substrate including a photoresist layer hardened by heat is provided. Subsequently, the hardened photoresist layer is etched so as to turn the photoresist layer into a predetermined edge profile. Thereafter, a structure layer is formed on parts of the substrate and parts of the photoresist layer. Next, a dry etching process is performed so as to remove the photoresist layer, and to turn the structure layer into a suspended structure.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: May 12, 2009
    Assignee: Touch Micro-System Technology Inc.
    Inventors: Yu-Fu Kang, Chen-Hsiung Yang
  • Publication number: 20090115044
    Abstract: Methods and structures for stack type semiconductor packaging are disclosed. In one embodiment, a semiconductor device includes a semiconductor chip mounted onto a substrate, a first resin molding portion formed on the substrate for sealing the semiconductor chip, and a through metal mounted on the substrate so as to pierce the first resin molding portion around the semiconductor chip. The semiconductor device further comprises an upper metal electrically coupled with the through metal and mounted on the first resin molding portion to extend from the through metal toward the semiconductor chip along an upper surface of the first resin molding portion, where the through metal and the upper metal are formed into an integral structure.
    Type: Application
    Filed: April 25, 2008
    Publication date: May 7, 2009
    Inventors: Masataka HOSHINO, Masahiko HARAYAMA, Koji TAYA, Naomi MASUDA, Masanori ONODERA, Ryota FUKUYAMA
  • Publication number: 20090045463
    Abstract: An active device array substrate including a substrate, a plurality of pixel units, a plurality of driving lines, a plurality of common lines, an electrostatic discharge (ESD) protection circuit, and a plurality of switch elements is provided. The substrate has a display region and a peripheral region adjacent to the display region. The pixel units are arranged as an array in the display region of the substrate. The driving lines are disposed in the display region and the peripheral region and are electrically connected to the pixel units. The common lines are disposed in the display region and are extended into the peripheral region. The ESD protection circuit is disposed in the peripheral region of the substrate. The switch elements are disposed in the peripheral region, wherein each of the switch elements is electrically connected between one of the common lines and the ESD protection circuit.
    Type: Application
    Filed: December 27, 2007
    Publication date: February 19, 2009
    Applicant: CHUNGHWA PICTURE TUBES, LTD.
    Inventor: Yang-Hui Chang
  • Publication number: 20090045519
    Abstract: In one embodiment of the present invention, a process is disclosed for producing a semiconductor device that can suppress the diffusion of an electrically conductive metal into an insulating film.
    Type: Application
    Filed: March 9, 2006
    Publication date: February 19, 2009
    Inventor: Noritaka Kamikubo
  • Publication number: 20090039492
    Abstract: A semiconductor memory device includes a stacked plurality of interposer chips, each interposer chip seating a smaller corresponding memory chip, wherein a lowermost interposer chip in the stacked plurality of interposer chips is mounted on a buffer chip. Each one of the stacked plurality of interposer chips includes a central portion having bond pads seating the corresponding memory device and a peripheral portion having a plurality of through silicon vias (TSVs). The respective pluralities of TSVs for adjacent interposer chips in the stacked plurality of interposer chips are connected via vertical connection elements to form multiple internal signal paths communicating write data from and read data to the buffer chip from respective memory chips.
    Type: Application
    Filed: May 20, 2008
    Publication date: February 12, 2009
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Uk-song KANG, Jung-bae LEE, Hoe-ju CHUNG
  • Publication number: 20090014861
    Abstract: Microelectronic package elements and packages having dielectric layers and methods of fabricating such elements packages are disclosed. The elements and packages may advantageously be used in microelectronic assemblies having high routing density.
    Type: Application
    Filed: July 12, 2007
    Publication date: January 15, 2009
    Applicant: Tessera, Inc.
    Inventor: Belgacem Haba
  • Publication number: 20090001478
    Abstract: A GaN layer and an n-type AlGaN layer are formed over an insulating substrate, and thereafter, a gate electrode, a source electrode and a drain electrode are formed on them. Next, an opening reaching at least a surface of the insulating substrate is formed in the source electrode, the GaN layer and the n-type AlGaN layer. Then, a nickel (Ni) layer is formed in the opening. Thereafter, by conducting dry etching from the back side while making the nickel (Ni) layer serve as an etching stopper, a via hole reaching the nickel (Ni) layer is formed in the insulating substrate. Then, a via wiring is formed extending from an inside the via hole to the back surface of the insulating substrate.
    Type: Application
    Filed: June 26, 2008
    Publication date: January 1, 2009
    Applicant: FUJITSU LIMITED
    Inventor: Naoya Okamoto
  • Publication number: 20090001426
    Abstract: Embodiments of the invention generally relate to semiconductor devices, and more specifically to interconnecting semiconductor devices. A silicide layer may be formed on selective areas of a fin structure connecting one or more semiconductor devices or semiconductor device components. By providing silicided fin structures to locally interconnect semiconductor devices, the use of metal contacts and metal layers may be obviated, thereby allowing formation of smaller, less complex circuits.
    Type: Application
    Filed: June 29, 2007
    Publication date: January 1, 2009
    Inventors: Kangguo Cheng, Louis Lu-Chen Hsu, Jack Allan Mandelman, William Robert Tonti
  • Publication number: 20090001367
    Abstract: A semiconductor device in which a plurality of chips can be reliably stacked without reducing integration thereof. The semiconductor device includes a substrate on which a circuit is provided. Pads are disposed on the substrate for testing the circuit. At least one terminal is provided on the substrate. First conductors are used to electrically couple the pads and the circuit. Second conductors are used to electrically couple the at least one terminal and the circuit. A switching element is disposed in the middle of the first conductors to control the electrical connection between the pads and the circuit. A plurality of semiconductor devices may be stacked on top of one another to form a stacked module, wherein chip selection lines are formed, which extend to the bottom of each of the semiconductor devices to electrically couple chip selection terminals from among the at least one terminal of the semiconductor devices.
    Type: Application
    Filed: May 6, 2008
    Publication date: January 1, 2009
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Seung-Duk BAEK, Sun-Won KANG
  • Publication number: 20080315348
    Abstract: An integrated circuit structure includes a semiconductor substrate; a first bottom metallization (M1) layer over the semiconductor substrate; a second M1 layer over the first M1 layer, wherein metal lines in the first and the second M1 layer have widths of greater than about a minimum feature size; and vias connecting the first and the second M1 layers.
    Type: Application
    Filed: June 25, 2007
    Publication date: December 25, 2008
    Inventor: Jeffrey Junhao Xu
  • Publication number: 20080251788
    Abstract: A wafer-level package includes a semiconductor wafer having at least one semiconductor chip circuit forming region each including a semiconductor chip circuit each provided with test chip terminals and non test chip terminals, at least one external connection terminal, at least one redistribution trace provided on the semiconductor wafer, at least one testing member, and an insulating material. A first end of the redistribution trace is connected to one of the test chip terminals and a second end of said redistribution trace is extended out to a position offset from the chip terminals. The testing member is provided in an outer region of the semiconductor chip circuit forming region, and the second end of the redistribution trace is connected to the testing member.
    Type: Application
    Filed: June 10, 2008
    Publication date: October 16, 2008
    Applicant: FUJITSU LIMITED
    Inventor: Shigeyuki Maruyama
  • Publication number: 20080246157
    Abstract: A device according to various aspects of the present invention generally includes a surface mount device having a top side, a bottom side, a plurality of sidewalls, and a circuit comprising one or more layers. The device includes a first conductive surface covering a portion of one of the sidewalls for providing an input to the circuit, a second conductive surface covering a portion of one of the sidewalls for providing an output from the circuit, and a third conductive surface covering a portion of one of the sidewalls for providing an electrical ground to the circuit. When the surface mount device is mounted to a provided mounting surface, at least one layer of the circuit is orthogonal to the provided mounting surface.
    Type: Application
    Filed: April 3, 2007
    Publication date: October 9, 2008
    Inventor: Qiang Richard Chen
  • Publication number: 20080211113
    Abstract: Through vias in a substrate are formed by creating a trench in a top side of the substrate and at least one trench in the back side of the substrate. The sum of the depths of the trenches at least equals the height of the substrate. The trenches cross at intersections, which accordingly form the through vias from the top side to the back side. The through vias are filled with a conductor to form contacts on both sides and the edge of the substrate. Contacts on the backside are formed at each of the trench. The through vias from the edge contacts. Traces connect bond pads to the conductor in the through via. Some traces are parallel to the back side traces. Some traces are skew to the back side traces. The substrate is diced to form individual die.
    Type: Application
    Filed: May 13, 2008
    Publication date: September 4, 2008
    Inventors: Swee Kwang Chua, Suan Jeung Boon, Yong Poo Chia, Yong Loo Neo
  • Publication number: 20080203575
    Abstract: An apparatus and a method of manufacture for a stacked-die assembly. A first die is placed on a substrate such that the backside of the die, i.e., the side opposite the side with the bond pads, is coupled to the substrate, preferably by an adhesive. Wire leads electrically couple the bond pads of the first die to contacts on the substrate. A second die is placed on the first die, and wire leads electrically couple the bond pads of the second die to contacts on the substrate. Preferably, a spacer is placed between the first die and the second die. Additional dies may be stacked on the second die.
    Type: Application
    Filed: May 6, 2008
    Publication date: August 28, 2008
    Inventors: Jochen Thomas, Peter Weitz, Jurgen Grafe, Harry Hedler, Jens Pohl
  • Publication number: 20080203558
    Abstract: A method for protecting a semiconductor device is disclosed that can improve reliability of a performance test for the semiconductor device and prevent damage to the semiconductor device during transportation or packaging for shipment. An IC cover is attached to the semiconductor device, which has height unevenness because it includes semiconductor chips and electric parts having different heights. The IC cover includes projecting portions and a base portion. After being attached to the semiconductor device, the projecting portions stand in a free area in the semiconductor device, and the base portion is supported by the projections to be separated from the semiconductor chips and electric parts in the semiconductor device. The IC cover is detachably attached to the semiconductor device.
    Type: Application
    Filed: April 24, 2008
    Publication date: August 28, 2008
    Applicant: FUJITSU LIMITED
    Inventors: Kazuhiro Tashiro, Keisuke Fukuda, Naohito Kohashi, Shigeyuki Maruyama
  • Publication number: 20080203557
    Abstract: Warp of a circuit device manufactured by the wafer level packaging technology is reduced. A semiconductor substrate used in a circuit device is provided with a circuit device and electrodes connected to the circuit device. A wiring layer having bumps connected to the electrodes is provided on a major surface of the semiconductor substrate. A metal layer is provided on a surface opposite to the major surface of the semiconductor substrate.
    Type: Application
    Filed: January 30, 2008
    Publication date: August 28, 2008
    Applicant: Sanyo Electric Co., Ltd.
    Inventors: Tetsuya Yamamoto, Yoshio Okayama, Yasuyuki Yanase, Tetsuro Sawai
  • Publication number: 20080169553
    Abstract: A method of fabricating a micro-electromechanical system (MEMS) device from a complementary metal oxide semiconductor (CMOS) having a silicon layer and an oxide layer, the oxide layer being on the silicon layer and containing at least one metal layer. The method includes etching the silicon layer of the CMOS to form a trench through the silicon layer to expose a portion of the oxide layer. The method also includes depositing a silicon oxide layer on the silicon layer and on an exposed portion of the oxide layer within the trench. Additionally, the method includes etching the silicon oxide layer deposited on the exposed portion of the oxide layer to expose a portion of the metal within the oxide layer. The method further includes electrodepositing a conductor within the trench such that the conductor extends through the trench to the exposed portion of the metal and etching the silicon layer of the CMOS to remove portions of the silicon layer adjacent the conductor.
    Type: Application
    Filed: April 11, 2006
    Publication date: July 17, 2008
    Applicant: University of Florida Research Foundation, Inc.
    Inventors: Huikai Xie, Khai D.T. Ngo
  • Patent number: 7391107
    Abstract: A semiconductor wafer has a dielectric layer, a metal last layer, a passivation layer, and a redistribution layer. The metal last layer is formed over the dielectric layer, and the metal last layer has first and second locations that are spaced apart from each other. The passivation layer is formed over the metal last layer. The redistribution layer is formed over the passivation layer. The redistribution layer has a signal routing wire coupled to the first location of the metal last layer and to the second location of the metal last layer.
    Type: Grant
    Filed: August 18, 2005
    Date of Patent: June 24, 2008
    Assignee: Infineon Technologies AG
    Inventor: Klaus Hummler
  • Publication number: 20080093617
    Abstract: Provided are a multiple reflection layer electrode, a compound semiconductor light emitting device having the same and methods of fabricating the same. The multiple reflection layer electrode may include a reflection layer on a p-type semiconductor layer, an APL (agglomeration protecting layer) on the reflection layer so as to prevent or retard agglomeration of the reflection layer, and a diffusion barrier between the reflection layer and the APL so as to retard diffusion of the APL.
    Type: Application
    Filed: June 7, 2007
    Publication date: April 24, 2008
    Inventors: June-o Song, Tae-yeon Seong, Kyoung-kook Kim, Hyun-gi Hong, Kwang-ki Choi, Hyun-soo Kim
  • Publication number: 20080073782
    Abstract: A semiconductor package comprising alignment members is provided. The semiconductor package includes a semiconductor die, first connection terminals disposed on a first surface of the semiconductor die, and a tape substrate including a substrate portion, and second connection terminals disposed on the substrate portion and disposed corresponding to the first connection terminals. The semiconductor package further includes a first alignment member disposed on the first surface of the semiconductor die, and a second alignment member disposed on the substrate portion of the tape substrate and disposed corresponding to the first alignment member.
    Type: Application
    Filed: July 12, 2007
    Publication date: March 27, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Na-rae SHIN, Dong-han KIM
  • Publication number: 20080017996
    Abstract: A semiconductor device comprises a wiring layer. The wiring layer is provided by forming a sidewall film having a closed-loop along a sidewall of a hard mask, etching off the hard mask to leave the sidewall film, and then etching a target material to be etched with a mask of the sidewall film. The wiring layer includes a folded wiring section formed along an end of the hard mask, and a parallel section composed of two parallel wires continued from the folded wiring section. The wiring layer has a closed-loop cut made in a portion except for the folded wiring section and the parallel section. The folded wiring section and the parallel section are used as a contact region for connection to another wire.
    Type: Application
    Filed: July 18, 2007
    Publication date: January 24, 2008
    Inventors: Mitsuru Sato, Masaru Kito, Yuzo Nagata
  • Publication number: 20070278675
    Abstract: Provided herein, in accordance with one aspect of the present invention, are exemplary embodiments of semiconductor chips having low metallization series resistance. In one embodiment, the semiconductor chip comprises a semiconductor substrate and a metallization structure formed on the semiconductor substrate; an under bump metallurgy (“UBM”) structure layer formed over the metallization structure; and a bump formed over said UBM layer; wherein the largest linear dimension of said UBM layer is larger than the diameter of said bump.
    Type: Application
    Filed: December 3, 2004
    Publication date: December 6, 2007
    Applicant: Great Wall Semiconductor Corporation
    Inventor: David Okada
  • Publication number: 20070278542
    Abstract: There is provided a semiconductor device including: a semiconductor substrate including a supporting substrate, a first insulating film formed on the supporting substrate, and a silicon film having a first region and a second region formed on the first insulating film, and a third region at least a portion of which is disposed between the first region and the second region; a first diffusion layer formed on the first region of the silicon film and having a first conductive type; a second diffusion layer formed on the second region of the silicon film and containing impurities having a second conductive type, which has a polarity opposite to that of the first conductive type; a second insulating film formed on the third region of the silicon film; and a third insulating film formed on the second insulating film, as well as a method of fabricating the semiconductor device.
    Type: Application
    Filed: April 19, 2007
    Publication date: December 6, 2007
    Applicant: OKI ELECTRIC INDUSTRY CO., LTD.
    Inventor: Koji Yuki
  • Publication number: 20070278656
    Abstract: A semiconductor die includes a plurality of drivers and a plurality of bonding pads. Each driver is formed by a plurality of interconnected modules and has an associated bonding pad to which at least one of the modules of the driver is electrically connected. The modules of some of the drivers are positioned outside of the associated bonding pad toward a periphery of the die. The bonding pads may be arranged, for example, in a double- or triple-staggered pattern around the periphery of the die.
    Type: Application
    Filed: August 17, 2007
    Publication date: December 6, 2007
    Inventors: James Emmert, Charles Evans, Michael Rencher, Haoran Duan
  • Patent number: 7262440
    Abstract: The present invention provides a light emitting diode (LED) package and the fabrication method thereof. The LED package includes a lower metal layer, and a first silicon layer, a first insulation layer, a second silicon layer, a second insulation layer, and a package electrode pattern formed in their order on the lower metal layer. The LED package also includes a spacer having a cavity, formed on the electrode pattern. The LED package further includes an LED mounted in the cavity by flip-chip bonding to the electrode patterns, and an optical element attached to the upper surface of the spacer.
    Type: Grant
    Filed: December 30, 2005
    Date of Patent: August 28, 2007
    Assignee: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Sang Hyun Choi, Woong Lin Hwang, Seog Moon Choi, Ho Joon Park, Sung Jun Lee, Chang Hyun Lim
  • Patent number: 7247951
    Abstract: A chip carrier comprising a laminated layer and an oxidation protection layer is provided. The oxidation protection layer is a non-electrolytic metallic coating or an organic oxidation protection film on the surface of bonding finger pads or other contacts formed by deploying a simple, fast film-coating technique. Therefore, there is no need to plate a Ni/Au layer on the bonding pads or contacts using expensive electroplating equipment for preventing oxidation and there is no need to fabricate plating lines on the chip carrier or reserve space for laying out the plating lines. Thus, the cost for fabricating the chip carrier is reduced, the effective area of the chip carrier is increased and the electrical performance of the chip carrier is improved.
    Type: Grant
    Filed: December 23, 2004
    Date of Patent: July 24, 2007
    Assignee: VIA Technologies, Inc.
    Inventors: Kwun-Yao Ho, Moriss Kung
  • Patent number: 7220657
    Abstract: A semiconductor wafer provided with columnar electrodes which have plated nickel, palladium, and gold films successively formed at the top thereof, or have a plated solder film at their top. The semiconductor wafer can be preferably used for producing a chip-sized semiconductor device provided with columnar electrodes to which an external connection terminal, such as a solder ball, is to be bonded. Methods of producing the semiconductor wafer and device by use of plating are also disclosed.
    Type: Grant
    Filed: December 20, 2002
    Date of Patent: May 22, 2007
    Assignee: Shinko Electric Industries, Co., Ltd.
    Inventors: Yoshihiro Ihara, Tsuyoshi Kobayashi, Shinichi Wakabayashi
  • Publication number: 20060284302
    Abstract: Provided are a semiconductor integrated circuit including a power supply, a semiconductor system including the semiconductor integrated circuit, and a method of forming the semiconductor integrated circuit. The semiconductor integrated circuit includes: a semiconductor substrate on a surface of which a plurality of electrical circuits and a plurality of power pads are mounted; an insulation layer stacked on the semiconductor substrate; a first conductive layer connected to a first power pad by a first via and stacked on the insulation layer; a second conductive layer connected to a second power pad by a second via, stacked on the insulation layer, and separated from the first insulation layer; and a power generation layer stacked on the first conductive layer and the second conductive layer and that generates voltage.
    Type: Application
    Filed: June 7, 2006
    Publication date: December 21, 2006
    Inventors: Kyu-Hyoun Kim, Chang-Hyun Kim