Logic Design Processing Patents (Class 716/101)
  • Patent number: 8015425
    Abstract: Optimizing the power used in an integrated circuit. A circuit-level transformation/permutation reduces the power consumed by multipliers or other components in integrated circuits. Signals that toggle frequently are assigned to lower power multiplier ports or the number of times a signal changes value is minimized. Large width signals are assigned to the low power port. Large multipliers are divided up and optimized as above. Pipelined multipliers are used with registers so that signals change together.
    Type: Grant
    Filed: August 5, 2009
    Date of Patent: September 6, 2011
    Assignee: Altera Corporation
    Inventors: Aaron Charles Egier, David Neto
  • Patent number: 8015395
    Abstract: A personal computer and methods of reconfiguration are described. An implementation of a personal computer may comprise a processor portion running a diagnostic application. A field programmable gate array in communication with the processor portion may be provided. A configurable non-volatile computer memory in communication with the field programmable gate array and wherein the field programmable gate array is programmed to reconfigure the non-volatile computer memory may be provided. Methods of reconfiguration of a personal computer are provided.
    Type: Grant
    Filed: April 9, 2008
    Date of Patent: September 6, 2011
    Assignee: RMT, Inc.
    Inventors: Shane Lewis, Justin Dyster, Layne N. Phillips, Michael Stimpson
  • Patent number: 8015514
    Abstract: Disclosed are embodiments of a method for randomly personalizing chips during fabrication, a personalized chip structure and a design structure for such a personalized chip structure. The embodiments use electronic device design and manufacturing processes to randomly or pseudo-randomly create a specific variation in one or more instances of a particular electronic device formed on each chip. The device design and manufacturing processes are tuned so that the specific variation occurs with some predetermined probability, resulting in a desired hardware distribution and personalizing each chip. The resulting personalized chips can be used for modal distribution of chips. For example, chips can be personalized to allow sorting when a single chip design can be used to support multiple applications. The resulting personalized chips can also be used for random number generation for creating unique on-chip identifiers, private keys, etc.
    Type: Grant
    Filed: December 29, 2008
    Date of Patent: September 6, 2011
    Assignee: International Business Machines Corporation
    Inventors: Mark D. Jaffe, Stephen A. Mongeon, Leah M. P. Pastel, Jed H. Rankin
  • Patent number: 8015534
    Abstract: A method, apparatus, and recording medium including computer instructions for estimating the size of a core section of a semiconductor integrated circuit are provided. The method includes calculating a total net length of wires of nets and usable channel length of the core section by referring to circuit information and a layout parameter that are used to design the semiconductor integrated circuit. The method includes determining a size of the core section that satisfies conditions based on total net length and usable channel length.
    Type: Grant
    Filed: October 15, 2008
    Date of Patent: September 6, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Takashi Kurihara, Kazutaka Takeuchi
  • Patent number: 8015528
    Abstract: A method, system and computer program product are disclosed. The method includes initializing a first variable to limit a rewrite time for rewrite operations with respect to an initial design by a rewriting module, a second variable to limit a time for satisfiability solver operations with respect to said initial design by a satisfiability solver module and a third variable to limit a maximum number of rewrite iterations with respect to said initial design. A timer is called to track said rewrite time and a local logic rewriting operation is run on said initial design with said rewrite module. In response to determining that all of all targets for said initial design netlist are not solved, whether a rewrite time is expired is determined. In response to determining that said rewrite time is not expired, AND refactoring is run. In response to determining that said rewrite time is not expired, XOR refactoring is run.
    Type: Grant
    Filed: December 10, 2008
    Date of Patent: September 6, 2011
    Assignee: International Business Machines Corporation
    Inventors: Jason R. Baumgartner, Robert L. Kanzelman, Hari Mony, Viresh Paruthi
  • Patent number: 8015519
    Abstract: In a verification supporting apparatus, a recording unit records a DIRW matrix in which a state transition possibly occurring in a register of a circuit to be verified and information concerning validity of a path corresponding to the state transition are set and an acquiring unit acquires a control data flow graph that includes a control flow graph having a data flow graph written therein. When a register is designated for verification, a data flow graph having described therein the designated register is extracted from the control data flow graph. From the data flow graph extracted, a path indicating the flow of data concerning the register is extracted. The state transition of the path extracted is identified and if the state transition is determined to be is set in the DIRW matrix, information concerning the validity set in the DIRW matrix and the path are correlated, and output.
    Type: Grant
    Filed: December 15, 2008
    Date of Patent: September 6, 2011
    Assignee: Fujitsu Limited
    Inventors: Akio Matsuda, Ryosuke Oishi, Koichiro Takayama, Tsuneo Nakata, Rafael Kazumiti Morizawa
  • Patent number: 8015518
    Abstract: A design structure for electrostatic discharge protection comprises a first data representing a first electrostatic discharge (ESD) protection circuit and a second data representing a second ESD protection circuit. A parallel connection of two ESD protection units, each providing a discharge path for electrical charges of opposite types, provides ESD protection circuit for positive and negative voltage swings in the circuit. Each of the multiple emitter-base regions are cascaded such that the base of one emitter-base region is directly wired to the emitter of an adjacent emitter-base region. The first data represents a first ESD protection unit providing protection on one type of voltage swing, and the second data represents a second ESD protection unit providing protection on the other type of voltage swing.
    Type: Grant
    Filed: April 23, 2008
    Date of Patent: September 6, 2011
    Assignee: International Business Machines Corporation
    Inventor: Steven H. Voldman
  • Patent number: 8010917
    Abstract: Disclosed is an improved method and system for implementing parallelism for execution of electronic design automation (EDA) tools, such as layout processing tools. Examples of EDA layout processing tools are placement and routing tools. Efficient locking mechanism are described for facilitating parallel processing and to minimize blocking.
    Type: Grant
    Filed: December 26, 2007
    Date of Patent: August 30, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: David Cross, Eric Nequist
  • Patent number: 8006206
    Abstract: Gated clock signals in ASIC designs are automatically optimized for implementation with a programmable device. Components having gated clock signals are identified and converted to operate directly from the base clock signal. To maintain compatibility, the data signal to the component is modified to connect with additional input logic responsive to a clock enable signal. The input logic modifies the signal received by the component's data input so that the component's output in response to the clock enable signal is unchanged. To this end, a system and method may identify the logic cone associated with a gated clock signal, convert this logic cone into a Boolean expression, and determine cofactors of the base clock signal from this Boolean expression. The input logic and clock enable logic are derived from an analysis of the cofactors of the base clock signal.
    Type: Grant
    Filed: July 17, 2008
    Date of Patent: August 23, 2011
    Assignee: Altera Corporation
    Inventor: Jinyong Yuan
  • Patent number: 8006210
    Abstract: A novel logic design method for avoiding wiring congestion. According to the novel logic design method, an original gate having multiple inputs coming from different directions and having multiple outputs coming to different directions can be transformed to a logic block that has an input stage and an output stage. The gates of the input stage receive signals from the multiple inputs of the original gate. The gates of the output stage send signals to the multiple outputs of the original gate. Each gate of the input stage is placed in a vicinity of its inputs. Each gate of the output stage is placed in a vicinity of its outputs. The gates of the input and output stages are functionally equivalent to the original gate.
    Type: Grant
    Filed: January 15, 2008
    Date of Patent: August 23, 2011
    Assignee: International Business Machines Corporation
    Inventors: Chaitra M. Bhat, Chandrika Madhwacharya, Atsushi Sugai, Toshihiko Yokota
  • Patent number: 8001497
    Abstract: Disclosed is a method of replicating control signal sources, comprising: receiving a description of a functional block that comprises at least one of, a plurality of multiplexer structures, a plurality of memory blocks, and a combination of at least one multiplexer structure and at least one memory block; identifying a control signal that controls said at least one of, said plurality of multiplexer structures, said plurality of memory blocks, and said combination of at least one multiplexer structure and at least one memory block; and, determining a first replica control signal and a second replica control signal, said first replica control signal and said second replica control signal collectively functioning as said control signal to control said at least one of, said plurality of multiplexer structures, said plurality of memory blocks, and said combination of at least one multiplexer structure and at least one memory block.
    Type: Grant
    Filed: October 1, 2008
    Date of Patent: August 16, 2011
    Assignee: LSI Corporation
    Inventors: Randall P. Fry, Balamurugan Balasubramanian, Kavitha Chaturvedula
  • Patent number: 8001500
    Abstract: An equivalency testing system, for formally comparing an RTLM and HLM, is presented. RTLM and HLM are first converted into DFGs RTLMDFG and HLMDFG. RTLMDFG and HLMDFG are then put into timestep form and are called RTLMts and HLMts. A test bench CSts is selected that couples RTLMts and HLMts. The combination of RTLMts[t], HLMts[t] and CSts[t] can have parts designated as datapath. Parts designated as datapath can be subject to a form of equivalence checking that seeks to prove equivalence by a form of inductive theorem proving that propagates symbolic values indicative of whether a node carries the same data content as another node. The theorem proving starts from initial conditions for HLMts[t] determined by partial execution of the HLM. Propagation to a combinational function output can be determined from equivalence relationships between it and another combinational function. Propagation through a multiplexer can produce a conditional symbolic value.
    Type: Grant
    Filed: April 30, 2008
    Date of Patent: August 16, 2011
    Assignee: Synopsys, Inc.
    Inventors: Alfred Koelbl, Carl Preston Pixley
  • Patent number: 8001502
    Abstract: A single verification tool provides both static timing analysis and timing simulation capabilities targeted at both full-custom and ASIC designs in a unified environment. In various embodiments the verification tool includes the following features: (a) Integrating both static timing analysis and dynamic simulation tools into a single tool, (b) Efficient path search for multi-phase, multi-frequency and multi-cycle circuit in the presence of level sensitive latch, (c) Automatically identifying circuit structure, e.g.
    Type: Grant
    Filed: August 5, 2008
    Date of Patent: August 16, 2011
    Assignee: Sage Software, Inc.
    Inventor: Mau-Chung Chang
  • Patent number: 8001507
    Abstract: A designing method is provided for designing an electric circuit including a clock output circuit for delivering a clock signal and a plurality of processing circuits for receiving the clock signal from the clock output circuit via wirings for clock transmission so as to perform a predetermined process based on the clock signal. The method includes, as a method for designing the wirings for clock transmission to have a predetermined length, a first step of connecting wirings between each of the processing circuits and an arbitrary point (as a “first point”) so that the wirings have substantially the same length (as a “first length”), and a second step of connecting the first point to the clock output circuit by a single wire having the length that is obtained by subtracting the first length from the predetermined length. Thus, lengths of the wirings for transmitting the clock signal to the plurality of circuits are adjustable while the entire length of the wirings is minimized.
    Type: Grant
    Filed: October 14, 2008
    Date of Patent: August 16, 2011
    Assignee: Funai Electric Co., Ltd.
    Inventor: Shigeki Otsuka
  • Patent number: 8001499
    Abstract: A pragma is used to pass circuit type information to a Computer Aided design (CAD) tool. The CAD tool then selects an alternate synthesis or timing algorithm based on the circuit type, and a circuit design for use in an electronic device is created. Practical applications include using alternate algorithms specific to different circuit types, such as, Cyclic Redundancy Checks (CRC), bus arbiters, state machine encoders, barrel shifters, preferential cores, and legacy circuits. One embodiment generates informative messages for the designer once the circuit type is known and the analysis is performed. Another embodiment generates pragmas that can be later used by circuit designers in future circuit designs.
    Type: Grant
    Filed: March 21, 2008
    Date of Patent: August 16, 2011
    Assignee: Altera Corporation
    Inventors: Greg William Baeckler, David W. Mendel, Michael D. Hutton
  • Patent number: 8001512
    Abstract: A method, system, and computer program product are disclosed for using pattern-dependent models at early stages of the design process. This addresses the key disadvantage of prior approaches which are restricted to using such models later in the design process for IC designs that are nearly complete. Pattern-dependent manufacturing effects are extracted from early stage designs and using the extracted pattern-dependent effects to efficiently and effectively design the integrated circuit. One or more contexts are built around one or more units of the design, with examples of units being a block or cell. The units are then used in the context to generate pattern-dependent data as a basis for one or more pattern-dependent models.
    Type: Grant
    Filed: June 26, 2007
    Date of Patent: August 16, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventor: David White
  • Patent number: 7996807
    Abstract: Disclosed are embodiments of a clock generation circuit, a design structure for the circuit and an associated method that provide deskewing functions and that further provide precise timing for both testing and functional operations. Specifically, the embodiments incorporate a deskewer circuit that is capable of receiving waveform signals from both an external waveform generator and an internal waveform generator. The external waveform generator can generate and supply to the deskewer circuit a pair of waveform signals for functional operations. The internal waveform generator can be uniquely configured with control logic and counter logic for generating and supplying a pair of waveform signals to the deskewer circuit for any one of built-in self-test (BIST) operations, macro-test operations, other test operations or functional operations.
    Type: Grant
    Filed: April 17, 2008
    Date of Patent: August 9, 2011
    Assignee: International Business Machines Corporation
    Inventors: Gary D. Grise, Vikram Iyengar, David E. Lackey, David W. Milton
  • Patent number: 7992111
    Abstract: Approaches for processing an electronic circuit design. In one embodiment, the graphical model of an outer subsystem block and an inner subsystem block are translated into a high-level language (HLL) program. The HLL program includes a specification of a first function corresponding to the outer subsystem block and within the specification of the first function a specification of a second function corresponding to the inner subsystem block. The specification of the first function references a parameter of the outer subsystem block and specifies invocation of the second function. The specification of the second function specifies invocation of a third function corresponding to a leaf block in the inner subsystem block. The specification of the first function references a variable corresponding to the parameter, and that variable is referenced by the second or third functions. Execution of the HLL program instantiates a model of the design.
    Type: Grant
    Filed: May 18, 2009
    Date of Patent: August 2, 2011
    Assignee: Xilinx, Inc.
    Inventors: Haibing Ma, Jingzhao Ou, Chi Bun Chan
  • Patent number: 7992124
    Abstract: A system for optimizing analog circuit designs includes an input device, a data processing device, and a data storage device. The data processing device includes a selecting module, a calculation module, and a determining module. The selecting module is for receiving input from the input device and selecting electronic components composing the circuit from the data storage device. The calculation module is for calculating average values and standard deviations of each electronic component, generating normal distribution samples of each electronic component, and calculating output voltages of the circuit. The determining module is for determining whether the circuit meets a process capability standard.
    Type: Grant
    Filed: October 23, 2008
    Date of Patent: August 2, 2011
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventors: Ying-Tso Lai, Tsung-Sheng Huang, Shou-Kuo Hsu
  • Patent number: 7992110
    Abstract: Structured ASIC circuitry that is intended to be functionally equivalent to a programmed block of FPGA circuitry (e.g., a programmed FPGA LUT) is verified for such functional equivalence by using the specification (logical or physical) for the structured ASIC circuitry as a starting point for an FPGA design project. If the design project results in the same FPGA circuitry as it was intended that the structured ASIC circuitry would be functionally equivalent to, the structured ASIC circuitry has been verified and can be added to one or more libraries of structured ASIC modules that are available for use in providing structured ASIC products that are functionally equivalent to programmed FPGA products.
    Type: Grant
    Filed: May 12, 2008
    Date of Patent: August 2, 2011
    Assignee: Altera Corporation
    Inventors: Jinyong Yuan, Ji Park
  • Patent number: 7984343
    Abstract: A test circuit can use a simple test pattern data without customization for each substrate and considerably reduce a test preparation process. A connection test circuit is generated by receiving the input of the data of the connection relation indicating the devices mutually line-connected among a plurality of devices, the number of connection lines corresponding to the respective connection relations, and the device outputting a test result, sequentially searching for a connection destination device from the output terminal of an output device, and embedding a test circuit module in a test route.
    Type: Grant
    Filed: August 10, 2009
    Date of Patent: July 19, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Kohichi Tamai
  • Patent number: 7984400
    Abstract: Various techniques involving snapshots of the contents of registers are described and claimed. In some embodiments, a method includes receiving descriptions of design circuitry including design registers to receive register input signals. The method also includes generating additional descriptions through at least one computer program including descriptions of additional registers (snapshot registers) to receive snapshots of the register input signals, wherein the additional registers provide register initial condition signals for use in a simulation of at least a portion of the design circuitry. Other embodiments are described.
    Type: Grant
    Filed: May 8, 2008
    Date of Patent: July 19, 2011
    Assignee: Synopsys, Inc.
    Inventors: Richard C. Maixner, Mario Larouche, Chun Kit Ng, Kenneth S. McElvain
  • Patent number: 7979817
    Abstract: A memory cell information producing unit obtains physical terminal coordinates, physical terminal names and logical terminal names of a memory cell and layout data, and operates based on them to specify parasitic elements parasitic on interconnections of the memory cell, and to produce memory cell information including the physical terminal names and representing physical properties and a connection relationship of inner elements of the memory cell and the parasitic elements. Memory cell array information producing unit obtains connection information determining the connection relationship of physical terminals of the memory cell, assigns node names to the physical terminals of the memory cell based on the connection information, and produces memory cell array information representing the node names of all the memory cells. A memory cell array net list producing unit produces a net list of the memory cell array formed of the memory cell information and the memory cell array information.
    Type: Grant
    Filed: June 23, 2008
    Date of Patent: July 12, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Toshiki Kanamoto, Mitsutoshi Shirota, Michiko Uchimura
  • Patent number: 7979815
    Abstract: A method and computer program product for modeling a semiconductor transistor device structure having an active device area, a gate structure, and including a conductive line feature connected to the gate structure and disposed above the active device area, the conductive line feature including a conductive landing pad feature disposed near an edge of the active device area in a circuit to be modeled. The method includes determining a distance between an edge defined by the landing pad feature to an edge of the active device area, and, from modeling a lithographic rounding effect of the landing pad feature, determining changes in width of the active device area as a function of the distance between an edge defined by the landing pad feature to an edge of the active device area. From these data, an effective change in active device area width (deltaW adder) is related to the determined distance.
    Type: Grant
    Filed: January 8, 2008
    Date of Patent: July 12, 2011
    Assignee: International Business Machines Corporation
    Inventors: Dureseti Chidambarrao, Gerald M. Davidson, Paul A. Hyde, Judith H. McCullen, Shreesh Narasimha
  • Patent number: 7971163
    Abstract: Disclosed is a property generating apparatus which generates a property representing a specification of an integrated circuit and verifying design information on the integrated circuit described in RTL (Register Transfer Level). The property generating apparatus includes: a storage unit, which stores a register name to identify a register; an address expanding unit, which expands property abbreviated description information on a group of registers including the register, and generates a group of addresses; an RTL analysis unit, which selects a group of register names from the register name stored in the storage unit; and a property generation unit, which generates the property by correlating the group of addresses with the group of register names.
    Type: Grant
    Filed: February 24, 2009
    Date of Patent: June 28, 2011
    Assignee: NEC Corporation
    Inventor: Atsuko Goto
  • Patent number: 7971178
    Abstract: Techniques are present for designing of integrated circuits. Both custom design data and synthesized digital design data are received and merged into a design database in an automated process. The design database is then made accessible to layout tools so that the layout tools may operate upon it. These layout tools can include, but are not limited to, custom tools, digitals, or a combinations of these.
    Type: Grant
    Filed: May 13, 2008
    Date of Patent: June 28, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Hitesh Marwah, Arnold Ginetti
  • Patent number: 7971161
    Abstract: A method for implementing speculative clock gating of digital logic circuits in a multiple stage pipeline design includes generating, in a first pipeline stage n, a valid control signal that is input to a first register in a second pipeline stage n+1, the valid control signal indicative of when an operation is qualified to be performed by the second pipeline stage n+1; and generating, in the first pipeline stage, a speculative valid control signal that is used to gate a clock signal to a plurality of additional registers in the second pipeline stage, wherein the speculative valid control signal is generated using only a subset of a total number of control inputs used in generating the valid control signal, and wherein the clock signal is sent directly, without gating, to the first register in the second pipeline stage.
    Type: Grant
    Filed: January 25, 2008
    Date of Patent: June 28, 2011
    Assignee: International Business Machines Corporation
    Inventors: Bartholomew Blaner, Mary D. Brown, William E. Burky, Todd A. Venton
  • Patent number: 7966591
    Abstract: Embodiments include a system and method for generating RTL description of an electronic device provided for a design test and a test bench environment to drive stimulus into the electronic device, identifying at least one register to be verified during the design test, authoring a property list including a plurality of properties, wherein each property includes a cause and an effect, creating a new property instance upon receiving an enqueue cause, transitioning a property instance from a waiting state to a pending state based on a dequeue cause, advancing property instances from the pending state to an active state and then to an expired state based on a defined time window, creating a current solution space including a plurality of solutions, wherein each of the plurality of solutions includes a list of unused active effects, inserting property instances into each of the plurality of solutions when the property instance enters to active state, pruning solutions from the current solutions space which have no
    Type: Grant
    Filed: October 9, 2008
    Date of Patent: June 21, 2011
    Assignee: Cray, Inc.
    Inventors: John Thompson, Michael Bye
  • Patent number: 7966590
    Abstract: A single module includes a shared combinational circuit, a multiplexed sequential circuit, and a common I/F and is substituted for a multiplexed module formed of plural modules of an identical category and type and including plural CPUs. Specifically, the shared combinational circuit is substituted for n combinational circuits, the multiplexed sequential circuit is substituted for n sequential circuits, and the common I/F is substituted for n input pins and n output pins.
    Type: Grant
    Filed: March 19, 2008
    Date of Patent: June 21, 2011
    Assignee: Fujitsu Limited
    Inventors: Yuzi Kanazawa, Takahide Yoshikawa, Tsuneo Nakata
  • Patent number: 7966529
    Abstract: A system and method for testing a plurality of memory blocks in a System on Chip (SOC) design uses two Test Access Ports (TAPs); a user TAP and an EDA tool TAP, to provide instructions and test data to the SOC. The system includes a glue logic block, a secured logic block and a memory testing module. The glue logic block selects the user TAP at the outset of the testing phase. The secured logic block is coupled with the user TAP and generates a TAP selection signal, which controls the selection of the EDA tool TAP. The memory testing module is used to carry out the process of testing the memory blocks when the EDA tool TAP is selected.
    Type: Grant
    Filed: September 13, 2007
    Date of Patent: June 21, 2011
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Rakesh Bakhshi, Bipin Duggal, Gulshan Kumar Miglani
  • Patent number: 7962872
    Abstract: An aspect of the present invention provides for timing analysis when integrating multiple circuit blocks while balancing resource requirements and accuracy. In an embodiment, an optimized model for a circuit block is created by combining information provided by two different models of the same circuit block and performing timing analysis based on the optimized model. In an embodiment, the two models correspond to black box and interface timing models. In the optimized model, ports for which only timing arc information is deemed necessary are modeled using corresponding information from the black box model, while ports for which more accurate or detailed information is deemed necessary are modeled using corresponding information from the interface timing model. The optimized model enables the integration to be performed with a balance of resource requirements and accuracy.
    Type: Grant
    Filed: November 21, 2008
    Date of Patent: June 14, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Arun Koithyar, Venkatraman Ramakrishnan
  • Patent number: 7962869
    Abstract: A method and system for debug and test using replicated logic is described. A representation of a circuit is compiled. The circuit includes a replicated portion and delay logic to delay inputs into the replicated portion. The circuit may also include trigger logic and clock control logic to enable execution of the replicated portion of the circuit to be paused when a trigger condition occurs. The compiled representation of the circuit may be programmed into a hardware device. A debugger may then be invoked. One or more triggering signals are selected. For each selected triggering signal, one or more states are selected to setup a trigger condition. The hardware device may then be run. The replicated portion of the circuit will be paused when the trigger condition occurs. The states of registers in the replicated portion of the circuit and the sequence of inputs that led to the trigger condition are recorded.
    Type: Grant
    Filed: July 1, 2008
    Date of Patent: June 14, 2011
    Assignee: Synopsys, Inc.
    Inventors: Chun Kit Ng, Mario Larouche
  • Patent number: 7958476
    Abstract: A power optimization method of deriving gated circuitry in an integrated circuit (IC) is provided. A design description of the IC is received and analyzed. A state machine is identified based on the analysis. One or more candidate blocks are determined to be capable of being disabled. At least one of the candidate blocks is selected based on one or more states of the state machine. A gating circuit is inserted for gating the selected candidate block(s). In another embodiment of power optimization, one or more state machines are identified and a synthesized netlist is generated. One or more candidate blocks in the synthesized netlist are determined to be capable of being disabled. At least one of the candidate blocks is selected based on one or more states in the state machine, and a gating circuit is inserted for gating the selected candidate block(s).
    Type: Grant
    Filed: July 9, 2008
    Date of Patent: June 7, 2011
    Assignee: Magma Design Automation, Inc.
    Inventors: Yunjian (William) Jiang, Arvind Srinivasan, Samit Chaudhuri
  • Patent number: 7958483
    Abstract: An embodiment of the invention includes receiving an indicator of an activity-level of a functional block within an electronic chip. The functional block is configured to receive a clock signal from a clock signal generator. The clock signal to at least a portion of a functional block is disabled for a number of inactive clock cycles during a clock segment of the clock signal. The clock segment has a specified number of clock cycles and the number of inactive clock cycles is defined based on the activity-level and the specified number of clock cycles of the clock segment.
    Type: Grant
    Filed: December 21, 2006
    Date of Patent: June 7, 2011
    Assignee: NVIDIA Corporation
    Inventors: Jonah M. Alben, Robert J. Hasslen, III, Sean J. Treichler
  • Publication number: 20110121417
    Abstract: A magnetic tunnel junction (MTJ) device and fabrication method is disclosed. In a particular embodiment, a method is disclosed that includes forming a magnetic tunnel junction structure above a bottom electrode. The method also includes forming a diffusion barrier layer above and adjacent to the magnetic tunnel junction structure. The method further includes etching back the diffusion barrier layer, removing the diffusion barrier layer above the magnetic tunnel junction structure. The method also includes connecting a top of the magnetic tunnel junction structure to a conductive layer.
    Type: Application
    Filed: November 25, 2009
    Publication date: May 26, 2011
    Applicant: QUALCOMM INCORPORATED
    Inventors: Xia Li, Seung H. Kang, Xiaochun Zhu
  • Patent number: 7949986
    Abstract: A method of evaluating the feasibility of a CoreSight trace architecture in a SoC before the hardware and/or firmware is available allowing for better die size estimates (IO count and gate count) and package requirement for the design in the early stages of planning.
    Type: Grant
    Filed: June 23, 2008
    Date of Patent: May 24, 2011
    Assignee: LSI Corporation
    Inventor: Judy Gehman
  • Patent number: 7949976
    Abstract: An improved, systematic approach is provided for automatically determining which cells in a circuit should be replaced to satisfy timing adjustment requirements (TAR's), and automatically replacing the cells with replacement cells to meet the TAR's. With the improved approach, there is a high likelihood that an optimal replacement scheme will be found which requires the fewest number of cells to be replaced while still satisfying all of the TAR's.
    Type: Grant
    Filed: May 23, 2008
    Date of Patent: May 24, 2011
    Assignee: Oracle America, Inc.
    Inventors: Jingyan Zuo, Yu-Yen Mo, Salim Chowdhury
  • Patent number: 7949915
    Abstract: The present invention provides a new hardware description language for chip-level JTAG testing. This new hardware description language, referred to as New BSDL (NSDL), enables testing resources of a system-on-chip to be described, thereby enabling the system-on-chip to be described in a manner that facilitates testing of the system-on-chip. The present invention provides a bottom-up approach to describing a system-on-chip. The present invention supports algorithmic descriptions of each of the components of the system-on-chip, and supports an algorithmic description of interconnections between the components of the system-on-chip, thereby enabling generation of an algorithmic description of the entire system-on-chip or portions of the system-on-chip. The present invention supports parallel access to one or more system-on-chip devices, including methods for describing and using parallel access for testing.
    Type: Grant
    Filed: December 4, 2007
    Date of Patent: May 24, 2011
    Assignee: Alcatel-Lucent USA Inc.
    Inventors: Tapan J. Chakraborty, Chen-Huan Chiang, Suresh Goyal, Michele Portolan, Bradford Gene Van Treuren
  • Patent number: 7945878
    Abstract: A method to validate data used in a design of a semiconductor product currently in a partially fabricated state is disclosed. The partially fabricated state having a plurality of layers up to and including a first conductive layer. The method generally includes the steps of (A) adding a second conductive layer from a user specification to an application set, the application set having a plurality of resources that define the semiconductor product, (B) validating a new resource in the user specification against the resources in the application set, (C) adding the new resource to the application set upon passing the validating and (D) propagating the new resource throughout a description of the semiconductor product, the description being stored in a computer-readable medium.
    Type: Grant
    Filed: May 15, 2008
    Date of Patent: May 17, 2011
    Assignee: LSI Corporation
    Inventors: Todd Jason Youngman, John Emery Nordman, Scott T. Senst
  • Patent number: 7945868
    Abstract: The invention discloses a method for tuning nano-scale analog-circuit designs in order to reduce random-device mismatches and optimize said design, where nano-scale devices potentially have large-scale process variations. The method includes providing a tunable circuit topology, wherein each nano-scale device comprises a single component or comprises multiple parallel components. Each component is decomposed into multiple discrete sub-components, wherein each said sub-component either operates in parallel with other like components to effectively operate like one bigger component. The sub-components are subjected to a dynamic-programming process to adaptively select the sub-components to be kept operational, while configuring the nonselected sub-components to be nonoperational, based on the measurement of at least one operational parameter.
    Type: Grant
    Filed: October 1, 2008
    Date of Patent: May 17, 2011
    Assignee: Carnegie Mellon University
    Inventors: Lawrence T. Pileggi, Xin Li
  • Patent number: 7945880
    Abstract: In one embodiment of the invention, a method of retiming a circuit is disclosed. The method includes computing an upper bound and a lower bound for a clock period of a clock signal to clock a circuit in response to a netlist of the circuit; selecting a potential clock period for the clock signal to clock registers of the circuit in response to the computed upper bound and the computed lower bound for the clock period; computing an upper bound and a lower bound of a retiming value for each node of the circuit to determine if a retiming of the circuit is achievable with the potential clock period; and computing the retiming value for each node of the circuit to minimize circuit area in response to the computed upper bound and the computed lower bound of the retiming value for each node.
    Type: Grant
    Filed: May 30, 2007
    Date of Patent: May 17, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Christoph Albrecht, Sascha Richter
  • Patent number: 7941779
    Abstract: Methods and apparatuses for circuit design to reduce power usage, such as reducing temperature dependent power usage, and/or to improve timing, such as reducing temperature dependent delay or transition time. At least one embodiment of the present invention reduces the power dissipation and improves the timing of an integrated circuit to optimize the design. A thermal analysis is used to determine the temperature dependent power dissipation of a circuit and the temperature distribution of the circuit resulting from dissipating the heat created by the temperature dependent power dissipation. Then, the components of the design are selectively transformed to reduce the power dissipation and to improve timing based on the temperature solution. The transformation may include placement changes and netlist changes, such as the change of transistor threshold voltages for cells or for blocks of the circuit chip.
    Type: Grant
    Filed: March 21, 2008
    Date of Patent: May 10, 2011
    Assignee: Synopsys, Inc.
    Inventors: Khalid Rahmat, Kenneth S. McElvain
  • Patent number: 7941769
    Abstract: An embodiment of the present invention provides a design specification to provide both design and manufacture security without increasing the chip area or reducing the chip performance. The invention employs “free” encryption and uses flash memory or anti-fuse technology for the security implementation. This secure methodology could be embedded into any RTL synthesis tool, or be created in a stand-alone tool. For a RTL netlist, some registers are selected as the candidates for the “secure cells”, and all “secure cells” must have only one output. A random key will be generated (we call it the “real key”) to decide whether each register is to be inverted or not. All “secure cells” will be mapped to the special registers in the technology library.
    Type: Grant
    Filed: March 28, 2007
    Date of Patent: May 10, 2011
    Inventor: Guoan Hu
  • Patent number: 7937679
    Abstract: A method for performing failure mode and effects analysis (FMEA) on integrated circuits including preparing a FMEA database of an integrated circuit under design and computing FMEA results from the FMEA database. Information is automatically extracted from an integrated circuit description. The extraction of information includes reading integrated circuit information, partitioning the circuit in invariant and elementary sensitive zones (SZ), using the information in the preparation step of a FMEA database. Optionally a FMEA validation stage may be performed with which FMEA computed results are compared with FMEA measured results to obtain FMEA validated results.
    Type: Grant
    Filed: April 11, 2008
    Date of Patent: May 3, 2011
    Assignee: Yogitech S.p.A.
    Inventor: Riccardo Mariani
  • Patent number: 7933747
    Abstract: Method and system are disclosed for modeling dynamic behavior of a transistor. The method includes representing static behavior of a transistor using a lookup table, selecting an instance of the transistor from the lookup table for modeling dynamic behavior of the transistor, computing a previous state of the instance using a non-quasi static analytical model, computing a variation in channel charge of the instance according to a rate of change in time, computing a current state of the instance using the previous state and the variation in channel charge, computing a modified terminal voltage that includes a dynamic voltage across a parasitic resistance at the terminal of the transistor according to the current state and previous state of the instance, and storing the modified terminal voltage in a memory device for modeling dynamic behavior of the transistor at the current state.
    Type: Grant
    Filed: November 6, 2007
    Date of Patent: April 26, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Yutao Ma, Min-Chie Jeng, Bruce W. McGaughy, Lifeng Wu, Zhihong Liu
  • Patent number: 7934181
    Abstract: The present invention relates to methods and apparatus for improving the stability of static random access memory (SRAM) cells by using boosted word lines. Specifically, a boosted word line voltage (Vdd?) is applied to the word line of a selected SRAM cell, while such a boosted word line voltage (Vdd?) is sufficiently higher than the power supply voltage (Vdd) of the SRAM cell so as to improve the cell stability to a desired level. Specifically, a specific boosted word line voltage is predetermined for each SRAM cell based on the specific cell configuration, by using a circuit simulation program, such as the BERKELEY-SPICE simulation program. A boost voltage generator is then used to apply the predetermined boosted word line voltage to the selected SRAM cell.
    Type: Grant
    Filed: May 30, 2008
    Date of Patent: April 26, 2011
    Assignee: International Business Machines Corporation
    Inventors: Hussein I. Hanafi, Richard Q. Williams
  • Patent number: 7930663
    Abstract: A design structure for an integrated circuit (IC) includes circuitry for measuring accurately at least one of set-up and hold times of a flip-flop included in the IC design. The circuitry uses data determined at the location of the flip-flop in the IC, and includes a first delay element driven by a first clock and configured to supply a zero-delay value of the first clock to a first flip-flop. The circuitry also includes a second delay element having a selectable delay, the second delay element configured to supply a first delayed version of the first clock to a second flip-flop, wherein an output of the first flip-flop is coupled to an input of the second flip-flop. A third delay element has a selectable delay and is coupled in series with the second delay element to supply a second delayed version of the first clock to a third flip-flop, and an output of the second flip-flop is coupled to an input of the third flip-flop.
    Type: Grant
    Filed: April 29, 2008
    Date of Patent: April 19, 2011
    Assignee: International Business Machines Corporation
    Inventor: Larry Wissel
  • Patent number: 7926009
    Abstract: The present invention is generally related to integrated circuit devices, and more particularly, to methods, systems and design structures for the field of image processing, and more specifically to vector units for supporting image processing. A dual vector unit implementation is described wherein two vector units are configured receive data from a common register file. The vector units may independently and simultaneously process instructions. Furthermore, the vector units may be adapted to perform scalar operations thereby integrating the vector and scalar processing. The vector units may also be configured to share resources to perform an operation, for example, a cross product operation.
    Type: Grant
    Filed: October 26, 2007
    Date of Patent: April 12, 2011
    Assignee: International Business Machines Corporation
    Inventors: Eric Oliver Mejdrich, Adam James Muff, Matthew Ray Tubbs
  • Patent number: 7921403
    Abstract: Impedance control, and the uniformity of electrical and mechanical characteristics in electronic packaging are becoming more important as chip and bus speeds increase and manufacturing processes evolve. Current state of the art design and manufacture processes inherently introduce physical dielectric thickness variations into PCB cross sections. These thickness variations between the ground reference plane(s) and the signal layer(s) inject undesirable characteristic impedance variations and undesirable mechanical variations in thickness and surface topology. Therefore a process of generating equalization data and a design structure for multilayer electronic structures is presented.
    Type: Grant
    Filed: April 11, 2008
    Date of Patent: April 5, 2011
    Assignee: International Business Machines Corporation
    Inventors: John Richard Dangler, Matthew Stephen Doyle
  • Patent number: 7921395
    Abstract: A method for laying out decoupling cells in a semiconductor integrated circuit including a plurality of paths. The method includes extracting from a timing analysis result a timing slack amount as a timing margin for power supply noise in one of the paths serving as a target path, converting the extracted timing margin to a noise tolerance amount, comparing the noise tolerance amount and a power supply noise amount of the target path, and determining whether or not a decoupling cell must be additionally laid out in the target path based on the comparison result.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: April 5, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Takaaki Okumura