Single Crystal Semiconductor Layer On Insulating Substrate (soi) Patents (Class 257/347)
  • Patent number: 10896907
    Abstract: A transistor including a gate stack and source and drain on opposing sides of the gate stack; and a first material and a second material on the substrate, the first material disposed between the substrate and the second material and the channel of the transistor is defined in the second material between the source and drain, wherein the first material and the second material each include an implant and the implant includes a greater solubility in the first material than in the second material. A method for forming an integrated circuit structure including forming a first material on a substrate; forming a second material on the first material; introducing an implant into the second material, wherein the implant includes a greater solubility in the first material than in the second material; annealing the substrate; and forming a transistor on the substrate, the transistor including a channel including the second material.
    Type: Grant
    Filed: September 30, 2016
    Date of Patent: January 19, 2021
    Assignee: Intel Corporation
    Inventors: Patrick H. Keys, Hei Kam, Rishabh Mehandru, Aaron A. Budrevich
  • Patent number: 10892364
    Abstract: A method of forming a fin structure that includes forming a plurality of fin structures from a bulk semiconductor substrate and forming a dielectric spacer on a sidewall of each fin structure in the plurality of fin structure. A semiconductor spacer is formed on a sidewall of the dielectric spacer. A dielectric fill is formed in the space between the adjacent fin structures. The semiconductor spacer and a portion of the fin structures that is present below a lower surface of the dielectric spacer are oxidized. Oxidizing a base portion of the fin structures produces a first strain and oxidizing the semiconductor spacer produces a second strain that is opposite the first strain.
    Type: Grant
    Filed: November 1, 2017
    Date of Patent: January 12, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Bruce B. Doris, Darsen D. Lu, Ali Khakifirooz, Kern Rim
  • Patent number: 10883234
    Abstract: A lighting device may include a body including a front cavity and a back cavity, and a bottom face between the front cavity and the back cavity. The lighting device may also include multiple lights, with at least one of the lights disposed within the front cavity, and at least one of the lights disposed within the back cavity. The lighting device may additionally include a coupling device, such as a female threaded component, configured to interface directly with an attachment mechanism atop a traffic cone. The coupling device may be disposed on the bottom face of the body.
    Type: Grant
    Filed: December 2, 2019
    Date of Patent: January 5, 2021
    Assignee: IZONUS, LLC
    Inventors: Roger D. Koyle, Jed C. Davis, Bradley K. Andrews
  • Patent number: 10886414
    Abstract: A semiconductor device in which fluctuation in electric characteristics due to miniaturization is less likely to be caused is provided. The semiconductor device includes an oxide semiconductor film including a first region, a pair of second regions in contact with side surfaces of the first region, and a pair of third regions in contact with side surfaces of the pair of second regions; a gate insulating film provided over the oxide semiconductor film; and a first electrode that is over the gate insulating film and overlaps with the first region. The first region is a CAAC oxide semiconductor region. The pair of second regions and the pair of third regions are each an amorphous oxide semiconductor region containing a dopant. The dopant concentration of the pair of third regions is higher than the dopant concentration of the pair of second regions.
    Type: Grant
    Filed: September 19, 2019
    Date of Patent: January 5, 2021
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 10872900
    Abstract: An example semiconductor device includes: n conductive layers including first to nth conductive layers stacked in a first direction; a first semiconductor region of a first conductive type; a second semiconductor region of a second conductive type closer to the nth conductive layer than the first semiconductor region; a semiconductor layer provided between the first semiconductor region and the second semiconductor region, extending in the first direction, penetrating the n conductive layers, and having an impurity concentration lower than a first conductive impurity concentration of the first region and a second conductive impurity concentration of the second region; n charge storage regions including first to nth charge storage regions provided between the n conductive layers and the semiconductor layer, and a control circuit that controls a voltage applied to the n conductive layers to always prevent charges from being stored in at least one of the n charge storage regions.
    Type: Grant
    Filed: February 22, 2019
    Date of Patent: December 22, 2020
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventors: Tomoya Sanuki, Yusuke Higashi, Hideto Horii, Masaki Kondo, Hiroki Tokuhira, Hideaki Aochi
  • Patent number: 10872886
    Abstract: A method includes forming a transistor having source and drain regions. The following are formed on the source/drain region: a first via, a first metal layer extending along a first direction on the first via, a second via overlapping the first via on the first metal layer, and a second metal extending along a second direction different from the first direction on the second via; and the following are formed on the drain/source region: a third via, a third metal layer on the third via, a fourth via overlapping the third via over the third metal layer, and a controlled device at a same height level as the second metal layer on the third metal layer.
    Type: Grant
    Filed: December 23, 2019
    Date of Patent: December 22, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Wei-Chih Wen, Han-Ting Tsai, Chung-Te Lin
  • Patent number: 10872859
    Abstract: A semiconductor device includes an active region extending in a first direction on a substrate, a buried conductive layer disposed adjacent to the active region on the substrate and extending in the first direction, a gate electrode intersecting the active region and extending in a second direction crossing the first direction, a source/drain layer disposed on the active region on one side of the gate electrode, a gate isolation pattern disposed on the buried conductive layer so as to be disposed adjacent to one end of the gate electrode, and extending in the first direction, and a contact plug disposed on the source/drain layer, electrically connected to the buried conductive layer, and in contact with the gate isolation pattern.
    Type: Grant
    Filed: April 11, 2019
    Date of Patent: December 22, 2020
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young Hun Kim, Jae Seok Yang, Hae Wang Lee
  • Patent number: 10868124
    Abstract: A group III nitride semiconductor substrate may include: a p-type conduction region into which a group II element has been implanted in a depth direction of the group III nitride semiconductor substrate from a surface of the group III nitride semiconductor substrate, the p-type conduction region having p-type conductivity, wherein hydrogen has been implanted from the p-type conduction region across an n-type conduction region adjacent to the p-type conduction region in the depth direction of the group III nitride semiconductor substrate.
    Type: Grant
    Filed: December 3, 2018
    Date of Patent: December 15, 2020
    Assignee: KABUSHIKI KAISHA TOYOTA CHUO KENKYUSHO
    Inventors: Hiroko Iguchi, Tetsuo Narita
  • Patent number: 10867917
    Abstract: A semiconductor device includes gate strips, first metal strips and second metal strips. The first metal strips are formed above the gate strips. The first metal strips are co-planar, and each first metal strip and one of the gate strips are crisscrossed. The second metal strips are formed above the first metal strips. The second metal strips are co-planar, and each second metal strip and one of the first metal strips are crisscrossed. One first metal strip connects to one gate strip crossing underneath by a first contact via without connecting to one second metal strip crossing over. A length between two adjacent gate strips is twice as a length between two adjacent second metal strips, and a length of said one first metal strips is smaller than two and a half times as the length between two adjacent gate strips.
    Type: Grant
    Filed: June 14, 2019
    Date of Patent: December 15, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Shih-Wei Peng, Hui-Ting Yang, Wei-Cheng Lin, Jiann-Tyng Tzeng
  • Patent number: 10866734
    Abstract: A resistance variable memory apparatus may include a memory circuit configured to include a plurality of blocks, each including a plurality of memory cells. The resistance variable memory apparatus may include a disturbance preventing circuit configured to be driven based on a counting signal corresponding to the number of write accesses for each of the plurality of blocks, a write command, and an address signal and to allow scrubbing to be performed on a memory cell having a preset scrubbing condition when the counting signal satisfied with the scrubbing condition is output based on the scribing condition according to a physical position of the memory cell in the block.
    Type: Grant
    Filed: December 18, 2018
    Date of Patent: December 15, 2020
    Assignee: SK hynix Inc.
    Inventors: Do-Sun Hong, Donggun Kim, Yong Ju Kim, Sang Gu Jo
  • Patent number: 10867866
    Abstract: In a method of manufacturing a semiconductor device, a fin structure having a bottom portion, an intermediate portion disposed over the bottom portion and an upper portion disposed over the intermediate portion is formed. The intermediate portion is removed at a source/drain region of the fin structure, thereby forming a space between the bottom portion and the upper portion. An insulating layer is formed in the space. A source/drain contact layer is formed over the upper portion. The source/drain contact layer is separated by the insulating layer from the bottom portion of the fin structure.
    Type: Grant
    Filed: October 30, 2017
    Date of Patent: December 15, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Mark Van Dal, Gerben Doornbos
  • Patent number: 10861786
    Abstract: The semiconductor device has a wiring M 2, an interlayer insulating film IL3 formed on the wiring M 2, and two wirings M 3 formed on the interlayer insulating film IL3, and the wiring M 3 is connected to the wiring M 2 by a conductor layer PG2 formed in the interlayer insulating film IL3. A recess CC3 is formed on the upper surface IL3a of the interlayer insulating film IL3, and the recess CC3 is defined by a side surface S 31 connected to the upper surface IL3a and a side surface S 32 connected to the side surface S 31, and the side surface S 32 is inclined so that the width WC3 of the recess CC3 decreases in the direction from the upper surface IL3a of the interlayer insulating film IL3 toward the upper surface IL2a of the interlayer insulating film IL2.
    Type: Grant
    Filed: June 19, 2019
    Date of Patent: December 8, 2020
    Assignee: RENESAS ELECTRONICS CORPORATION
    Inventors: Yoshikazu Nagamura, Takashi Ipposhi, Katsumi Eikyu
  • Patent number: 10854644
    Abstract: A display apparatus includes: at thin film transistor on a substrate; and a capacitor on the substrate, the capacitor including a first storage electrode and a second storage electrode. The thin film transistor includes: a semiconductor layer on the substrate, including: a channel region in which are disposed: bridged grain lines defined by portions of the semiconductor layer having an amount of a dopant, and semiconductor lines defined by portions of the semiconductor having a dopant amount less than that of the bridged grain lines and forming an interface with the bridged grain lines, and source and drain regions disposed at opposing sides of the channel region; and a gate electrode overlapping the semiconductor layer with a gate insulation film therebetween, the gate electrode including: first gate electrodes corresponding to the semiconductor lines, respectively, and a second gate electrode covering the gate electrodes.
    Type: Grant
    Filed: August 21, 2018
    Date of Patent: December 1, 2020
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Jongchan Lee, Jaeseob Lee, Woonghee Jeong, Taehoon Yang, Yongsu Lee
  • Patent number: 10854750
    Abstract: A semiconductor device may include a substrate, a fin above the substrate and having a channel region therein, and source and drain regions adjacent the channel region to generate shear and normal strain on the channel region. A semiconductor device may include a substrate, a fin above the substrate and having a channel region therein, source and drain regions adjacent the channel region, and a gate over the channel region. The fin may be canted with respect to the source and drain regions to generate shear and normal strain on the channel region.
    Type: Grant
    Filed: November 11, 2019
    Date of Patent: December 1, 2020
    Assignee: STMICROELECTRONICS, INC.
    Inventors: Pierre Morin, Nicolas Loubet
  • Patent number: 10854504
    Abstract: The present disclosure provides a semiconductor structure having a semiconductor layer; a gate with a conductive portion and a sidewall spacer; an interlayer dielectric (ILD) surrounding the sidewall spacer; and a nitrogen-containing protection layer, positioning at least on the top surface of the conductive portion of the gate. A top surface of the conductive portion and a top surface of the sidewall spacer are substantially coplanar. The nitrogen-containing protection layer is not covering the sidewall surface of the sidewall spacer. The present disclosure provides a method for manufacturing a semiconductor structure. The method includes forming a metal gate structure having a conductive portion and a sidewall spacer surrounded by a first ILD; forming a protection layer over the metal gate structure, and the protection layer is formed to cover at least the conductive portion of the metal gate structure; and forming a second ILD over the metal gate structure.
    Type: Grant
    Filed: September 25, 2017
    Date of Patent: December 1, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Che-Cheng Chang, Chang-Yin Chen, Jr-Jung Lin, Chih-Han Lin, Yung Jung Chang
  • Patent number: 10854727
    Abstract: A method of fabricating an electronic device includes providing a III-V substrate having a hexagonal crystal structure and a normal to a growth surface characterized by a misorientation from the <0001> direction of between 0.15° and 0.65°. The method also includes growing a first III-V epitaxial layer coupled to the III-V substrate and growing a second III-V epitaxial layer coupled to the first III-V epitaxial layer. The method further includes forming a first contact in electrical contact with the III-V substrate and forming a second contact in electrical contact with the second III-V epitaxial layer.
    Type: Grant
    Filed: February 13, 2020
    Date of Patent: December 1, 2020
    Assignee: NEXGEN POWER SYSTEMS, INC.
    Inventors: Isik C. Kizilyalli, Dave P. Bour, Thomas R. Prunty, Gangfeng Ye
  • Patent number: 10854522
    Abstract: A method for processing a semiconductor wafer uses non-contact electrical measurements indicative of at least one tip-to-side short or leakage, at least one corner short or leakage, and at least one via open or resistance, where such measurements are obtained from non-contact pads associated with respective tip-to-side short, corner short, and via open test areas.
    Type: Grant
    Filed: March 31, 2018
    Date of Patent: December 1, 2020
    Assignee: PDF Solutions, Inc.
    Inventors: Stephen Lam, Dennis Ciplickas, Tomasz Brozek, Jeremy Cheng, Simone Comensoli, Indranil De, Kelvin Doong, Hans Eisenmann, Timothy Fiscus, Jonathan Haigh, Christopher Hess, John Kibarian, Sherry Lee, Marci Liao, Sheng-Che Lin, Hideki Matsuhashi, Kimon Michaels, Conor O'Sullivan, Markus Rauscher, Vyacheslav Rovner, Andrzej Strojwas, Marcin Strojwas, Carl Taylor, Rakesh Vallishayee, Larg Weiland, Nobuharu Yokoyama
  • Patent number: 10847477
    Abstract: The present application relates to a technical field of semiconductors, and discloses a device having a physically unclonable function, a method for manufacturing same, and a chip using same.
    Type: Grant
    Filed: May 15, 2018
    Date of Patent: November 24, 2020
    Assignees: Semiconductor Manufacturing (Shanghai) International Corporation, Semiconductor Manufacturing (Beijing) International Corporation
    Inventors: Dong Wang, Xiao Yan Bao, Tian Hua Dong, Guang Ning Li
  • Patent number: 10847730
    Abstract: A display device includes a first shape-memory wire that memorizes an extended state and a second shape-memory wire that memorizes a bending state. The laminated layers include a first flexible layer, a second flexible layer, and a display element layer on which light emitting elements are disposed. The first flexible layer includes a first interface between the first flexible layer and a layer in contact with an upper side or a lower side of the first flexible layer. The second flexible layer includes a second interface between the second flexible layer and a layer in contact with an upper side or a lower side of the second flexible layer. The first shape-memory wire is disposed within the first flexible layer or on the first interface. The second shape-memory wire is disposed within the second flexible layer or on the second interface.
    Type: Grant
    Filed: July 11, 2017
    Date of Patent: November 24, 2020
    Assignee: Japan Display Inc.
    Inventor: Masamitsu Furuie
  • Patent number: 10847615
    Abstract: A semiconductor device includes a substrate; a first semiconductor layer above the substrate, a second semiconductor layer between the substrate and the first semiconductor layer, first and second conductors, an electrode, and first and second insulating films. The first and second semiconductor layers have a first end and a second end opposite to the first end. The first conductor is connected to the first ends of the first and second semiconductor layers. The second conductor includes a first portion connected to the second ends of the first and second semiconductor layers and a second portion positioned inside the substrate. The electrode faces portions of first and second semiconductor layers between the first end and the second end thereof. The first insulating film is provided between the first semiconductor layer and the electrode; and the second insulating film is provided between the second semiconductor layer and the electrode.
    Type: Grant
    Filed: March 13, 2019
    Date of Patent: November 24, 2020
    Assignees: Kabushiki Kaisha Toshiba, Toshiba Electronic Devices & Storage Corporation
    Inventors: Tomoaki Yabe, Mitsuhiro Yano
  • Patent number: 10847655
    Abstract: A semiconductor device includes an oxide semiconductor layer above an insulating surface, a source electrode in contact with a side surface of the oxide semiconductor layer, a drain electrode in contact with a side surface of the oxide semiconductor layer, a gate insulating film above the oxide semiconductor layer, the source electrode, and the drain electrode, and, a gate electrode overlapping with the oxide semiconductor layer interposed by the gate insulating film. The gate electrode is arranged above and outside of the source electrode and the drain electrode.
    Type: Grant
    Filed: August 9, 2019
    Date of Patent: November 24, 2020
    Assignee: Japan Display Inc.
    Inventor: Hiroki Ohara
  • Patent number: 10847423
    Abstract: Embodiments of the present disclosure describe techniques and configurations to reduce transistor gate short defects. In one embodiment, a method includes forming a plurality of lines, wherein individual lines of the plurality of lines comprise a gate electrode material, depositing an electrically insulative material to fill regions between the individual lines and subsequent to depositing the electrically insulative material, removing a portion of at least one of the individual lines to isolate gate electrode material of a first transistor device from gate electrode material of a second transistor device. Other embodiments may be described and/or claimed.
    Type: Grant
    Filed: August 9, 2019
    Date of Patent: November 24, 2020
    Assignee: Intel Corporation
    Inventors: Sridhar Govindaraju, Matthew J. Prince
  • Patent number: 10833021
    Abstract: A method comprises the steps of providing a semiconductor device wafer; forming a first plurality of alignment marks on a first side of the semiconductor device wafer; forming a first pattern of a first conductivity type; forming a second plurality of alignment marks on a second side of the semiconductor device wafer; forming a bonded wafer by bonding a carrier wafer to the semiconductor device wafer; forming a third plurality of alignment marks on a free side of the carrier wafer; applying a grinding process; forming a plurality of device structure members; removing the carrier wafer; applying an implanting process and an annealing process; applying a metallization process and applying a singulation process.
    Type: Grant
    Filed: June 14, 2018
    Date of Patent: November 10, 2020
    Assignee: ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN) LTD.
    Inventors: Lei Zhang, Hongyong Xue, Jian Wang, Runtao Ning
  • Patent number: 10825811
    Abstract: A method, FET structure and gate cut structure are disclosed. The method forms a gate cut opening in a dummy gate in a gate material layer, the gate cut opening extending into a space separating a semiconductor structures on a substrate under the gate material layer. A source/drain region is formed on the semiconductor structure(s), and a gate cut isolation is formed in the gate cut opening. The gate cut isolation may include an oxide body. During forming of a contact, a mask has a portion covering an upper end of the gate cut isolation to protect it. The gate cut structure includes a gate cut isolation including a nitride liner contacting the end of the first metal gate conductor and the end of the second metal gate conductor, and an oxide body inside the nitride liner.
    Type: Grant
    Filed: February 20, 2019
    Date of Patent: November 3, 2020
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Xiaoming Yang, Sipeng Gu, Jeffrey Chee, Keith H. Tabakman
  • Patent number: 10818759
    Abstract: A fin-shaped field effect transistor (finFET) device comprising includes a substrate. an insulating layer displaced over the substrate, and a fin. The device also includes a gate formed over the fin, the gate including: a gate stack; and a high-k dielectric on opposing side of the gate stack. The device further includes metallic source and drain regions formed over the fin and on opposing sides of the gate.
    Type: Grant
    Filed: July 2, 2019
    Date of Patent: October 27, 2020
    Assignee: Tessera, Inc.
    Inventors: Emre Alptekin, Robert R. Robison, Reinaldo A. Vega
  • Patent number: 10804900
    Abstract: An integrated circuit provides a semiconductor die with I/O bond pads, a power bond pad, and a circuit ground pad. Each I/O bond pad is associated with an input circuit that has an input circuit output lead. Sets of digital logic functional circuitry on the die provide different digital logic functions. Each function includes logic input leads and logic output leads. Output circuits each have an output circuit in lead and an output circuit out lead. Strapping structures, such as vias, formed in the semiconductor die electrically couple input circuits to a selected set of digital logic functions and the selected set of digital logic functions to output circuit in leads. Upper level metal conductors couple output circuit out leads and selected I/O bond pads.
    Type: Grant
    Filed: August 21, 2018
    Date of Patent: October 13, 2020
    Assignee: Texas Instruments Incorporated
    Inventors: Joao Carlos Brito, Philip Anthony Coyle
  • Patent number: 10804375
    Abstract: A method for manufacturing a semiconductor device is provided by follows. A fin is formed over a substrate. A spacer is formed on a sidewall of a first portion of the fin. An epitaxy feature is grown from a second portion of the fin that is in a position lower than the first portion of the fin, in which the forming the epitaxy feature is performed after the forming the spacer. The spacer is removed to expose the first portion of the fin. A gate stack is formed around the exposed first portion of the fin.
    Type: Grant
    Filed: June 23, 2017
    Date of Patent: October 13, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Wei-Sheng Yun, Shao-Ming Yu, Tung-Ying Lee, Chih-Chieh Yeh
  • Patent number: 10804410
    Abstract: Provided is a nanosheet semiconductor device. In embodiments of the invention, the nanosheet semiconductor device includes a channel nanosheet formed over a substrate. The nanosheet semiconductor device includes a buffer layer formed between the substrate and the channel nanosheet. The buffer layer has a lower conductivity than the channel nanosheet.
    Type: Grant
    Filed: April 24, 2018
    Date of Patent: October 13, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Robin H. Chao, Choonghyun Lee, Chun W. Yeung, Jingyun Zhang
  • Patent number: 10804162
    Abstract: A method that includes forming first semiconductor layers and second semiconductor layers disposed over a substrate, wherein the first and second semiconductor layers have different material compositions, are alternatingly disposed, and extend over first and second regions of the substrate; patterning the first and the second semiconductor layers to form a first fin in the first region and a second fin in the second region; removing the first semiconductor layers from the first and second fins such that a first portion of the patterned second semiconductor layers becomes first suspended nanostructures in the first fin and that a second portion of the patterned second semiconductor layers becomes second suspended nanostructures in the second fin; forming third semiconductor layers on the second suspended nanostructures in the second fin; and performing an anneal process to drive materials contained in the third semiconductor layers into corresponding second suspended nanostructures in the second fin.
    Type: Grant
    Filed: March 27, 2019
    Date of Patent: October 13, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chih-Hao Wang, Jui-Chien Huang, Chun-Hsiung Lin, Kuo-Cheng Chiang, Chih-Chao Chou, Pei-Hsun Wang
  • Patent number: 10794839
    Abstract: A semiconductor metrology tool inspects an area of a semiconductor wafer. The inspected area includes a plurality of instances of a 3D semiconductor structure arranged periodically in at least one dimension. A computer system generates a model of a respective instance of the 3D semiconductor structure based on measurements collected during the inspection. The computer system renders an image of the model that shows a 3D shape of the model and provides the image to a device for display.
    Type: Grant
    Filed: February 22, 2019
    Date of Patent: October 6, 2020
    Assignee: KLA Corporation
    Inventors: Aaron J. Rosenberg, Jonathan Iloreta, Thaddeus G. Dziura, Antonio Gellineau, Yin Xu, Kaiwen Xu, John Hench, Abhi Gunde, Andrei Veldman, Liequan Lee, Houssam Chouaib
  • Patent number: 10796903
    Abstract: To improve field-effect mobility and reliability of a transistor including an oxide semiconductor film. Provided is a semiconductor device including an oxide semiconductor film. The semiconductor device includes a first insulating film, the oxide semiconductor film over the first insulating film, a second insulating film and a third insulating film over the oxide semiconductor film, and a gate electrode over the second insulating film. The oxide semiconductor film includes a first oxide semiconductor film, a second oxide semiconductor film over the first oxide semiconductor film, and a third oxide semiconductor film over the second oxide semiconductor film. The first to third oxide semiconductor films contain the same element. The second oxide semiconductor film includes a region where the crystallinity is lower than the crystallinity of one or both of the first oxide semiconductor film and the third oxide semiconductor film.
    Type: Grant
    Filed: November 19, 2018
    Date of Patent: October 6, 2020
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Junichi Koezuka, Kenichi Okazaki, Masami Jintyou, Yukinori Shima
  • Patent number: 10790381
    Abstract: In a method for manufacturing a semiconductor device by using a gate replacement technology, a gate space constituted by dielectric material portions, in which a semiconductor fin channel layer is exposed, is formed. The surfaces of the dielectric material portions are made hydrophobic. A first dielectric layer is formed on the semiconductor fin channel layer, while maintaining the surfaces of the dielectric material portions hydrophobic. A surface of the formed first dielectric layer is hydrophilic. A first conductive layer is formed over the first dielectric layer, while maintaining the surfaces of the dielectric material portions hydrophobic. A second conductive layer is formed over the first conductive layer and on the hydrophobic surfaces of the dielectric material portions, thereby filling the gate space.
    Type: Grant
    Filed: December 23, 2019
    Date of Patent: September 29, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Tetsu Ohtou, Yusuke Oniki
  • Patent number: 10790730
    Abstract: A power conversion device includes a first power conversion circuit portion and a second power conversion circuit portion delivering power to and from first and second motors of which shaft centers are disposed along a first direction, three first connection terminals connecting the first power conversion circuit portion and the first motor, and three second connection terminals connecting the second power conversion circuit portion and the second motor. The first power conversion circuit portion and the second power conversion circuit portion are configured such that, when seen in radial directions of the first motor and the second motor, at least a portion thereof overlaps at least any of the first motor and the second motor. Three first connection terminals are disposed along the first direction on one side in a second direction. Three second connection terminals are disposed along the first direction on the other side in the second direction.
    Type: Grant
    Filed: October 17, 2018
    Date of Patent: September 29, 2020
    Assignee: HONDA MOTOR CO., LTD.
    Inventor: Takahiro Uneme
  • Patent number: 10790346
    Abstract: A display device includes a plurality of pixels, wherein a first pixel of the plurality of pixels includes: a scan line extending in a first direction; a data line and a driving voltage line extending in a second direction crossing the first direction; a switching thin film transistor connected to the scan line and the data line; a driving thin film transistor connected to the switching thin film transistor; a first shielding layer overlapping the data line; and a second shielding layer overlapping the data line, the second shielding layer being spaced apart from the first shielding layer in the second direction such that the first shielding layer and the second shielding layer are spaced apart a predetermined distance apart from each other.
    Type: Grant
    Filed: May 31, 2019
    Date of Patent: September 29, 2020
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Junwon Choi, Changsoo Pyon
  • Patent number: 10790393
    Abstract: FinFET devices comprising multilayer gate spacers are provided, as well as methods for fabricating FinFET devices in which multilayer gate spacers are utilized to prevent or otherwise minimize the erosion of vertical semiconductor fins when forming the gate spacers. For example, a method for fabricating a semiconductor device comprises forming a dummy gate structure over a portion of a vertical semiconductor fin of a FinFET device, and forming a multilayer gate spacer on the dummy gate structure. The multilayer gate spacer comprises a first dielectric layer and a second dielectric layer, wherein the first dielectric layer has etch selectivity with respect to the vertical semiconductor fin and the second dielectric layer. In one embodiment, the first dielectric layer comprises silicon oxycarbonitride (SiOCN) and the second dielectric layer comprises silicon boron carbon nitride (SiBCN).
    Type: Grant
    Filed: February 5, 2019
    Date of Patent: September 29, 2020
    Assignee: International Business Machines Corporation
    Inventors: Andrew M. Greene, Hong He, Sivananda K. Kanakasabapathy, Gauri Karve, Eric R. Miller, Pietro Montanini
  • Patent number: 10790354
    Abstract: Self-aligned gate edge and local interconnect structures and methods of fabricating self-aligned gate edge and local interconnect structures are described. In an example, a semiconductor structure includes a semiconductor fin disposed above a substrate and having a length in a first direction. A gate structure is disposed over the semiconductor fin, the gate structure having a first end opposite a second end in a second direction, orthogonal to the first direction. A pair of gate edge isolation structures is centered with the semiconductor fin. A first of the pair of gate edge isolation structures is disposed directly adjacent to the first end of the gate structure, and a second of the pair of gate edge isolation structures is disposed directly adjacent to the second end of the gate structure.
    Type: Grant
    Filed: April 30, 2019
    Date of Patent: September 29, 2020
    Assignee: Intel Corporation
    Inventors: Milton Clair Webb, Mark Bohr, Tahir Ghani, Szuya S. Liao
  • Patent number: 10784119
    Abstract: Methods of self-aligned multiple patterning. First and second mandrels are formed over a hardmask, and a conformal spacer layer is deposited over the first mandrel, the second mandrel, and the hardmask between the first mandrel and the second mandrel. A planarizing layer is patterned to form first and second trenches that expose first and second lengthwise portions of the conformal spacer layer respectively between the first and second mandrels. After patterning the planarizing layer, the first and second lengthwise portions of the conformal spacer layer are removed with an etching process to expose respective portions of the hardmask along a non-mandrel line. A third lengthwise portion of the conformal spacer layer is masked during the etching process by a portion of the planarizing layer and defines a non-mandrel etch mask.
    Type: Grant
    Filed: October 8, 2018
    Date of Patent: September 22, 2020
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Ravi Prakash Srivastava, Hsueh-Chung Chen, Steven McDermott, Martin O'Toole, Brendan O'Brien, Terry A. Spooner
  • Patent number: 10778221
    Abstract: According to one embodiment, a first switch controls conduction between first and second nodes according to a potential on a first control node. A second switch controls conduction between the first control node and a first potential node according to a potential on a second control node. A first circuit includes first and second output nodes respectively coupled to the first and second control nodes, and outputs at the second output node a potential that brings the second switch out of conduction while outputting a first potential at the first output node. The first circuit has a high impedance at the first output node while outputting at the second output node a potential that brings the second switch into conduction.
    Type: Grant
    Filed: June 6, 2019
    Date of Patent: September 15, 2020
    Assignees: Kabushiki Kaisha Toshiba, Toshiba Electronics Devices & Storage Corporation
    Inventors: Shinji Ohno, Toshifumi Ishimori, Mitsuru Sugawara
  • Patent number: 10770481
    Abstract: A semiconductor device includes: a silicon substrate having a first plane with a first plane orientation; a silicon oxide layer provided on a first region of the silicon substrate; a first silicon layer provided on the silicon oxide layer, the first silicon layer having a second plane with a second plane orientation different from the first plane orientation; and a wide-bandgap compound semiconductor layer having a hexagonal crystal structure.
    Type: Grant
    Filed: September 6, 2018
    Date of Patent: September 8, 2020
    Assignee: NuFlare Technology, Inc.
    Inventor: Kiyotaka Miyano
  • Patent number: 10770290
    Abstract: A semiconductor device includes a substrate, a first semiconductor stack including elongated semiconductor features isolated from each other and overlaid in a direction perpendicular to a top surface of the substrate, and a second semiconductor stack including elongated semiconductor features isolated from each other and overlaid in the direction perpendicular to the top surface of the substrate. The second semiconductor stack has different geometric characteristics than the first semiconductor stack. A top surface of the first semiconductor stack is coplanar with a top surface of the second semiconductor stack.
    Type: Grant
    Filed: July 12, 2018
    Date of Patent: September 8, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD
    Inventors: Tung Ying Lee, Shao-Ming Yu
  • Patent number: 10770467
    Abstract: Provided are a semiconductor device and a method for fabricating the same. The semiconductor device comprises a first fin type active pattern formed on a substrate and extending in a first direction and including first to third parts. At least one dimension of the third part measuring less than the corresponding dimension of the first part. A gate electrode extending in a second direction different from the first direction is at least partially formed on the first part of the fin type active pattern. A first source/drain is formed on the third part of the fin type active pattern.
    Type: Grant
    Filed: November 20, 2018
    Date of Patent: September 8, 2020
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Soo-Yeon Jeong, Dong-Gu Yi, Tae-Jong Lee, Jae-Po Lim
  • Patent number: 10770447
    Abstract: There is provided a method for fabricating a substrate structure capable of enhancing process reproducibility and process stability by trimming a bevel region of a substrate using a wafer level process. The method includes providing a first substrate including first and second surfaces opposite each other and a first device region formed at the first surface, providing a second substrate including third and fourth surfaces opposite each other and a second device region at the third surface, bonding the first substrate and the second substrate to electrically connect the first device region and the second device region, and forming a trimmed substrate. The forming the trimmed substrate includes etching an edge region of the second substrate bonded to the first substrate.
    Type: Grant
    Filed: May 1, 2019
    Date of Patent: September 8, 2020
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ho Jin Lee, Seok Ho Kim, Kwang Jin Moon, Byung Lyul Park, Nae In Lee
  • Patent number: 10763155
    Abstract: Some embodiments include a semiconductor construction which has one or more openings extending into a substrate. The openings are at least partially filled with dielectric material comprising silicon, oxygen and carbon. The carbon is present to a concentration within a range of from about 3 atomic percent to about 20 atomic percent. Some embodiments include a method of providing dielectric fill across a semiconductor construction having an opening extending therein. The semiconductor construction has an upper surface proximate the opening. The method includes forming photopatternable dielectric material within the opening and across the upper surface, and exposing the photopatternable dielectric material to patterned actinic radiation.
    Type: Grant
    Filed: November 2, 2018
    Date of Patent: September 1, 2020
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Scott L. Light, John A. Smythe, Sony Varghese
  • Patent number: 10763170
    Abstract: A semiconductor device includes a buried insulation layer, a semiconductor layer, a gate structure, a source doped region, and a drain doped region. The semiconductor layer is disposed on the buried insulation layer. The gate structure is disposed on the semiconductor layer. The semiconductor layer includes a body region disposed between the gate structure and the buried insulation layer. The source doped region and the drain doped region are disposed in the semiconductor layer. A first contact structure penetrates the buried insulation layer and contacts the body region. A second contact structure penetrates the buried insulation layer and is electrically connected with the source doped region. At least a part of the first contact structure overlaps the body region in a thickness direction of the buried insulation layer. The body region is electrically connected with the source doped region via the first contact structure and the second contact structure.
    Type: Grant
    Filed: March 22, 2018
    Date of Patent: September 1, 2020
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Purakh Raj Verma, Su Xing, Ching-Yang Wen
  • Patent number: 10763257
    Abstract: Systems, methods, and apparatus for an improved protection from charge injection into layers of a device using resistive structures are described. Such resistive structures, named s-contacts, can be made using simpler fabrication methods and less fabrication steps. In a case of metal-oxide-semiconductor (MOS) field effect transistors (FETs), s-contacts can be made with direct connection, or resistive connection, to all regions of the transistors, including the source region, the drain region and the gate.
    Type: Grant
    Filed: September 24, 2019
    Date of Patent: September 1, 2020
    Assignee: pSemi Corporation
    Inventors: Befruz Tasbas, Simon Edward Willard, Alain Duvallet, Sinan Goktepeli
  • Patent number: 10755992
    Abstract: The present disclosure relates to a mold module that includes a device layer, a number of first bump structures, a first mold compound, a stop layer, and a second mold compound. The device layer includes a number of input/output (I/O) contacts at a top surface of the device layer. Each first bump structure is formed over the device layer and electronically coupled to a corresponding I/O contact. The first mold compound resides over the device layer, and a portion of each first bump structure is exposed through the first mold compound. The stop layer is formed underneath the device layer. The second mold compound resides underneath the stop layer, such that the stop layer separates the device layer from the second mold compound.
    Type: Grant
    Filed: May 30, 2018
    Date of Patent: August 25, 2020
    Assignee: Qorvo US, Inc.
    Inventors: Julio C. Costa, Merrill Albert Hatcher, Jr., Peter V. Wright, Jon Chadwick
  • Patent number: 10756122
    Abstract: There is provided a manufacture method of an array substrate of a display device using TFT as a pixel control unit, an array substrate, and a display apparatus. In this method, when a data line and source and drain electrodes of a TFT are prepared, a half-tone mask is used to retain at least one part of a photoresist on the data line; and the retained photoresist is softened by heating so that the at least one part of the data line is coated by the retained photoresist.
    Type: Grant
    Filed: June 25, 2018
    Date of Patent: August 25, 2020
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: Zhaofan Liu, Yijun Wang, Na Zhao, Qiyu Shen
  • Patent number: 10756028
    Abstract: Provided is a radiation-tolerant unit MOSFET to block a leakage current path caused by a total ionizing dose effect and reduce influence of a current pulse generated due to a single event effect. The radiation-tolerant unit MOSFET includes a poly gate layer for designating a gate region and at least one dummy gate region, a source and a drain, and a P+ layer and a P-active layer for specifying a P+ region to the source and the drain, and a dummy drain allowing application of a voltage. An electronic part that may normally operate is provided even a radiation environment where particle radiation and electromagnetic radiation are present.
    Type: Grant
    Filed: September 6, 2018
    Date of Patent: August 25, 2020
    Assignee: Korea Advanced Institute of Science and Technology
    Inventors: Hee Chul Lee, Young Tak Roh
  • Patent number: 10755987
    Abstract: A method for fabricating a radio-frequency device involves providing a substrate structure including a silicon handle wafer, an oxide layer formed on the silicon handle wafer, and an active silicon layer disposed on the oxide layer.
    Type: Grant
    Filed: December 8, 2018
    Date of Patent: August 25, 2020
    Assignee: Skyworks Solutions, Inc.
    Inventors: Jerod F. Mason, David Scott Whitefield
  • Patent number: 10756174
    Abstract: A semiconductor device includes a substrate, a gate structure, at least one nanowire, at least one epitaxy structure, and at least one source/drain spacer. The gate structure is disposed on the substrate. The nanowire extends through the gate structure. The epitaxy structure is disposed on the substrate and is in contact with the nanowire. The source/drain spacer is disposed between the epitaxy structure and the gate structure and is embedded in the epitaxy structure.
    Type: Grant
    Filed: June 5, 2017
    Date of Patent: August 25, 2020
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Mark Van Dal, Gerben Doornbos, Chung-Te Lin