Single Crystal Semiconductor Layer On Insulating Substrate (soi) Patents (Class 257/347)
  • Patent number: 10643901
    Abstract: A field-effect transistor includes a gate electrode to apply a gate voltage, a source electrode and a drain electrode to take electric current out, a semiconductor layer disposed adjacent to the source electrode and the drain electrode, and a gate insulating layer disposed between the gate electrode and the semiconductor layer, wherein the gate insulating layer includes an oxide including silicon and one or two or more alkaline earth metal elements.
    Type: Grant
    Filed: January 10, 2019
    Date of Patent: May 5, 2020
    Assignee: RICOH COMPANY, LTD.
    Inventors: Ryoichi Saotome, Naoyuki Ueda, Yuki Nakamura, Yukiko Abe, Shinji Matsumoto, Yuji Sone, Sadanori Arae, Minehide Kusayanagi
  • Patent number: 10643995
    Abstract: A semiconductor device includes a plurality of active patterns protruding from a substrate, a gate structure intersecting the plurality of active patterns, a plurality of source/drain regions respectively on the plurality of active patterns at opposite sides of the gate structure, and source/drain contacts intersecting the plurality of active patterns, each of the source/drain contacts connected in common to the source/drain regions thereunder, each of the plurality of source/drain regions including a first portion in contact with a top surface of the active pattern thereunder, the first portion having a width substantially increasing as a distance from the substrate increases, and a second portion extending from the first portion, the second portion having a width substantially decreasing as a distance from the substrate increases, bottom surfaces of the source/drain contacts being lower than an interface between the first and second portions.
    Type: Grant
    Filed: April 10, 2019
    Date of Patent: May 5, 2020
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Changseop Yoon, Jayeol Goo, Sang Gil Kim
  • Patent number: 10629431
    Abstract: A dense array of semiconductor single crystalline semiconductor nanocrystals is provided in the present application by forming an amorphous semiconductor material layer surrounding a plurality of patterned nanostructures comprised of a single crystalline semiconductor material portion. A thermal anneal, i.e., (solid phase epitaxy), is then performed to crystallize a portion of the amorphous semiconductor material layer that is in contact with each single crystalline semiconductor material portion and to provide a plurality of spaced apart single crystalline nanocrystals on a surface of an insulator. A remaining portion of the amorphous semiconductor material layer that was not crystallized is thereafter removed.
    Type: Grant
    Filed: November 15, 2017
    Date of Patent: April 21, 2020
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Hong He, Juntao Li
  • Patent number: 10629532
    Abstract: One aspect of the disclosure relates to an integrated circuit structure. The integrated circuit structure may include: a gate stack having a gate conductor therein over a substrate, the gate stack being within a dielectric layer; a source/drain contact to a source/drain region over the substrate and adjacent to the gate stack within the dielectric layer; an upper conductor extending above, without contacting, the source/drain contact, wherein the upper conductor extends within the dielectric layer to contact the gate conductor within the gate stack.
    Type: Grant
    Filed: September 5, 2018
    Date of Patent: April 21, 2020
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Hui Zang, Josef S. Watts
  • Patent number: 10629527
    Abstract: A semiconductor device includes a plurality of lower conductive lines overlying a substrate and extending in a first direction, an insulating layer overlying the plurality of lower conductive lines, a plurality of upper conductive lines overlying the insulating layer and the first conductive lines and extending in a second direction crossing the first direction, and a plurality of vias filled with a conductive material formed in the insulating layer. The plurality of upper conductive lines are arranged in the first direction with a first pitch. The plurality of vias includes first vias and second vias. At least one via of the first vias connects at least two lines of the plurality of lower conductive lines and one line of the plurality of upper conductive lines. An average width in the first direction of the first vias is different from an average width in the first direction of the second vias.
    Type: Grant
    Filed: November 20, 2018
    Date of Patent: April 21, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Kuo-Yen Liu, Boo Yeh, Min-Chang Liang, Jui-Yao Lai, Sai-Hooi Yeong, Ying-Yan Chen, Yen-Ming Chen
  • Patent number: 10629528
    Abstract: A method includes forming a transistor at a surface of a semiconductor substrate, wherein the step of forming the transistor comprises forming a gate electrode, and forming a source/drain region adjacent the gate electrode. First metal features are formed to include at least portions at a same level as the gate electrode. Second metal features are formed simultaneously, and are over and contacting the first metal features. A first one of the second metal features is removed and replaced with a third metal feature, wherein a second one of the second metal features is not removed. A fourth metal feature is formed directly over and contacting the gate electrode, wherein the third and the fourth metal features are formed using a same metal-filling process.
    Type: Grant
    Filed: April 22, 2019
    Date of Patent: April 21, 2020
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wei Yu Ma, Fang-Tsun Chu, Kvei-Feng Yen, Yao-Bin Wang
  • Patent number: 10629703
    Abstract: Embodiments of the present disclosure provide an integrated circuit (IC) structure, which can include: a semiconductor fin; a gate dielectric positioned above a first region of the semiconductor fin; a spacer positioned above a second region of the semiconductor fin and adjacent to the gate dielectric; and a source/drain region contacting a third region of the semiconductor fin; wherein the first region of the semiconductor fin includes substantially vertical sidewalls, and the third region of the semiconductor fin includes sloped sidewalls.
    Type: Grant
    Filed: January 11, 2018
    Date of Patent: April 21, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Brent A. Anderson, Edward J. Nowak
  • Patent number: 10629621
    Abstract: Systems, methods, and apparatus for an improved body tie construction are described. The improved body tie construction is configured to have a lower resistance body tie exists when the transistor is “off” (Vg approximately 0 volts). When the transistor is “on” (Vg>Vt), the resistance to the body tie is much higher, reducing the loss of performance associated with presence of body tie. Space efficient Body tie constructions adapted for cascode configurations are also described.
    Type: Grant
    Filed: January 3, 2019
    Date of Patent: April 21, 2020
    Assignee: pSemi Corporation
    Inventor: Simon Edward Willard
  • Patent number: 10629705
    Abstract: A semiconductor device includes a base substrate. A first thin-film transistor is disposed on the base substrate. The first thin-film transistor includes a first input electrode, a first output electrode, a first semiconductor pattern disposed below a first insulating layer, and a first control electrode disposed on the first insulating layer and below a second insulating layer. A second thin-film transistor includes a second input electrode, a second output electrode, a second semiconductor pattern disposed on the second insulating layer, and a second control electrode disposed on an insulating pattern formed on the second semiconductor pattern and exposes a portion of the second semiconductor pattern. The first semiconductor pattern includes a crystalline semiconductor. The second semiconductor pattern includes an oxide semiconductor. The first semiconductor pattern, the first control electrode, the second semiconductor pattern, and the second control electrode are overlapped.
    Type: Grant
    Filed: October 11, 2017
    Date of Patent: April 21, 2020
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Jaybum Kim, Seryeong Kim, Junhyung Lim, Taesang Kim
  • Patent number: 10622429
    Abstract: A micro display device and a display integrated circuit are provided. Embodiments of the micro display device includes: a silicon substrate; a pixel array including a plurality of sub-pixels arranged in a pixel array zone of the silicon substrate; and driver circuits positioned in a circuit zone disposed around the pixel array zone of the silicon substrate, in which all or some of transistors in the pixel array zone and transistors in the circuit zone have different current-voltage transmission characteristics, thereby having excellent driving performance and display performance.
    Type: Grant
    Filed: April 30, 2018
    Date of Patent: April 14, 2020
    Assignee: LG Display Co., Ltd.
    Inventors: UnSang Yu, Ho-Jin Kim, Gyungmin Kim
  • Patent number: 10622262
    Abstract: A silicon-on-insulator (SOI) CMOS transistor and a SOI heterojunction bipolar transistor (HBT) are fabricated on the same semiconductor substrate. First and second SOI regions are formed over the semiconductor substrate. A SOI CMOS transistor is fabricated in the first SOI region, and a collector region of the SOI HBT is fabricated in the second SOI region. The collector region can be formed by performing a first implant to a local collector region in the second SOI region, and performing a second implant to an extrinsic collector region in the second SOI region, wherein the extrinsic collector region is separated from the local collector region. A SiGe base is formed over the collector region, wherein a dielectric structure separates portions of the SiGe region and the extrinsic collector region. The SOI CMOS transistor and SOI HBT may be used to implement a front end module of an RF system.
    Type: Grant
    Filed: October 6, 2017
    Date of Patent: April 14, 2020
    Assignee: Newport Fab LLC
    Inventors: Edward J. Preisler, Paul D. Hurwitz, Marco Racanelli, David J. Howard
  • Patent number: 10622271
    Abstract: The present disclosure relates to a mold module that includes a device layer, a number of first bump structures, a first mold compound, a stop layer, and a second mold compound. The device layer includes a number of input/output (I/O) contacts at a top surface of the device layer. Each first bump structure is formed over the device layer and electronically coupled to a corresponding I/O contact. The first mold compound resides over the device layer, and a portion of each first bump structure is exposed through the first mold compound. The stop layer is formed underneath the device layer. The second mold compound resides underneath the stop layer, such that the stop layer separates the device layer from the second mold compound.
    Type: Grant
    Filed: May 30, 2018
    Date of Patent: April 14, 2020
    Assignee: Qorvo US, Inc.
    Inventors: Julio C. Costa, Merrill Albert Hatcher, Jr., Peter V. Wright, Jon Chadwick
  • Patent number: 10622306
    Abstract: A semiconductor device includes transistors over a substrate, and first, second, and third metallization layers over the transistors. The first, second, and third metallization layer includes first, second, and third metal features, respectively. The second metal features are oriented lengthwise substantially perpendicular to the first metal features, and the third metal features are oriented lengthwise substantially parallel to the first metal features. The first, second, and third metal features have a first, second, and third thickness, respectively, along a first direction perpendicular to a top surface of the substrate. The second thickness is smaller than both the first and the third thicknesses.
    Type: Grant
    Filed: March 26, 2018
    Date of Patent: April 14, 2020
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Jhon Jhy Liaw
  • Patent number: 10622486
    Abstract: A tilted nanowire structure is provided which has an increased gate length as compared with a horizontally oriented semiconductor nanowire at the same pitch. Such a structure avoids complexity required for vertical transistors and can be fabricated on a bulk semiconductor substrate without significantly changing/modifying standard transistor fabrication processing.
    Type: Grant
    Filed: July 26, 2017
    Date of Patent: April 14, 2020
    Assignee: International Business Machines Corporation
    Inventors: Pouya Hashemi, Kangguo Cheng, Alexander Reznicek, Karthik Balakrishnan
  • Patent number: 10622056
    Abstract: Some embodiments include an apparatus which has a wordline coupled with a transistor gate, and which has a compensator line extending along the wordline and spaced from the wordline by a dielectric region. A driver is coupled with the wordline, and a controller is coupled with the compensator line. The wordline is coupled with access transistors, and is operated at a first voltage while the access transistors are in an OFF state. The compensator line is operated at a second voltage while the wordline is at the first voltage; with the second voltage being greater than the first voltage. The wordline is operated at a third voltage while the access transistors are in an ON state, and the compensator line is operated at a fourth voltage while the wordline is at the third voltage. The third voltage may or may not be greater than the fourth voltage.
    Type: Grant
    Filed: January 17, 2019
    Date of Patent: April 14, 2020
    Assignee: Micron Technology, Inc.
    Inventors: Deepak Chandra Pandey, Chandra Mouli, Haitao Liu
  • Patent number: 10622058
    Abstract: A method for programming a one-transistor dynamic memory cell of A2RAM type. The A2RAM memory cell includes a source and a drain doped of a first conductivity type, a body region arranged between the source and the drain, and an insulated gate arranged facing the body region. The body region includes first and second portions extending parallel to the insulated gate, the first portion being doped of a second conductivity type opposite to the first conductivity type and arranged between the insulated gate and the second portion, doped of the first conductivity type. The programming method includes biasing the transistor in an off state by electrical potentials applied to the drain and the gate. The drain potential and the gate potential are chosen in such a way as to create charge carriers by impact ionisation in the second portion.
    Type: Grant
    Filed: September 4, 2018
    Date of Patent: April 14, 2020
    Assignee: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventors: Joris Lacord, François Tcheme Wakam
  • Patent number: 10622352
    Abstract: The present invention provides fin cut techniques in a replacement gate process for finFET fabrication. In one aspect, a method of forming a finFET employs a dummy gate material to pin a lattice constant of patterned fins prior to a fin cut thereby preventing strain relaxation. A dielectric fill in a region of the fin cut (below the dummy gates) reduces an aspect ratio of dummy gates formed from the dummy gate material in the fin cut region, thereby preventing collapse of the dummy gates. FinFETs formed using the present process are also provided.
    Type: Grant
    Filed: January 25, 2017
    Date of Patent: April 14, 2020
    Assignee: International Business Machines Corporation
    Inventors: Andrew M. Greene, Balasubramanian Pranatharthiharan, Sivananda K. Kanakasabapathy, John R. Sporre
  • Patent number: 10615240
    Abstract: An organic light-emitting diode display is disclosed. In one aspect, the display includes a plurality of pixels formed in a plurality of intersection areas of a plurality of data lines and a plurality of scan lines. Each of the pixels includes a storage capacitor configured to store a data voltage, at least one target transistor having one end electrically connected to a current path of the storage capacitor, an organic light emitting layer, and a first electrode of an OLED formed over the organic light emitting layer. The first electrode includes a first electrode extension configured to block at least a portion of the target transistor from light.
    Type: Grant
    Filed: October 30, 2015
    Date of Patent: April 7, 2020
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Hee Rim Song, Mu Kyung Jeon, Chong Chul Chai
  • Patent number: 10607991
    Abstract: A method of forming a semiconductor device that includes forming a trench adjacent to a gate structure to expose a contact surface of one of a source region and a drain region. A sacrificial spacer may be formed on a sidewall of the trench and on a sidewall of the gate structure. A metal contact may then be formed in the trench to at least one of the source region and the drain region. The metal contact has a base width that is less than an upper surface width of the metal contact. The sacrificial spacer may be removed, and a substantially conformal dielectric material layer can be formed on sidewalls of the metal contact and the gate structure. Portions of the conformally dielectric material layer contact one another at a pinch off region to form an air gap between the metal contact and the gate structure.
    Type: Grant
    Filed: June 7, 2018
    Date of Patent: March 31, 2020
    Assignee: Tessera, Inc.
    Inventors: Marc A. Bergendahl, Kangguo Cheng, Fee Li Lie, Eric R. Miller, John R. Sporre, Sean Teehan
  • Patent number: 10607847
    Abstract: A method of forming a three-dimensional transistor device. The method may include providing a fin array on a substrate, the fin array comprising a plurality of fin structures, formed from a monocrystalline semiconductor, and disposed subjacent to a hard mask layer. The method may include directing angled ions at the fin array, wherein the angled ions form a non-zero angle of incidence with respect to a perpendicular to a plane of the substrate. The angled ions may etch the plurality of fin structures to form a stack of isolated nanowires, within a given fin structure.
    Type: Grant
    Filed: December 3, 2018
    Date of Patent: March 31, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Min Gyu Sung, Sony Varghese, Anthony Renau, Morgan Evans, Joseph C. Olson
  • Patent number: 10600910
    Abstract: An integrated circuit is described. The integrated circuit includes a metal oxide semiconductor field effect transistor (MOSFET). The MOSFET is on a first surface of an insulator layer of the integrated circuit. The MOSFET including a source region, a drain region, and a front gate. The MOSFET also includes an extended drain region between the drain region and a well proximate the front gate. The integrated circuit also includes back gates on a second surface opposite the first surface of the insulator layer. The back gates are overlapped by the extended drain region.
    Type: Grant
    Filed: October 10, 2018
    Date of Patent: March 24, 2020
    Assignee: QUALCOMM Incorporated
    Inventors: Qingqing Liang, Ravi Pramod Kumar Vedula, Sivakumar Kumarasamy, George Pete Imthurn, Sinan Goktepeli
  • Patent number: 10600809
    Abstract: The present disclosure relates to a semiconductor structure. The semiconductor structure includes a semiconductor-on-insulator (SOI) substrate having a bottom substrate, a buried oxide layer disposed on the bottom substrate, and a semiconductor layer disposed on the buried oxide layer. The semiconductor structure further includes a doped layer embedded in the semiconductor layer and above the buried oxide layer, and a contact structure extending into the semiconductor layer from the top surface of the semiconductor layer. The contact structure is electrically connected to the doped layer.
    Type: Grant
    Filed: February 13, 2017
    Date of Patent: March 24, 2020
    Assignee: VANGUARD INTERNATIONAL SEMICONDUCTOR CORPORATION
    Inventors: Tsung-Hsiung Lee, Chun-Ting Yang, Ho-Chien Chen, Yu-Ting Wei
  • Patent number: 10593674
    Abstract: Structures for field-effect transistors and methods for fabricating a structure for field-effect transistors. A logic cell includes first and second field-effect transistors and a well defining a back gate that is arranged beneath the first and second field-effect transistors. A dielectric layer is arranged between the well and the logic cell. A plurality of deep trench isolation regions extend through the dielectric layer and are arranged to surround the first and second field-effect transistors and the well. The back gate is shared by the first and second field-effect transistors.
    Type: Grant
    Filed: September 12, 2018
    Date of Patent: March 17, 2020
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Ming-Cheng Chang, Nigel Chan, Elliot John Smith
  • Patent number: 10593754
    Abstract: Semiconductor structures and methods of forming semiconductor structures. Trench isolation regions arranged to surround an active device region The trench isolation regions extend through a device layer and a buried oxide layer of a silicon-on-insulator wafer into a substrate of the silicon-on-insulator wafer. A well is arranged in the substrate outside of the trench isolation regions, and a doped region is arranged in a portion of the substrate. The doped region is arranged in a portion of the substrate that is located in a horizontal direction adjacent to one of the trench isolation regions and in a vertical direction adjacent to the buried oxide layer. The doped region and the well have the same conductivity type.
    Type: Grant
    Filed: July 25, 2018
    Date of Patent: March 17, 2020
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Jagar Singh, Jerome Ciavatti, Jae Gon Lee, Josef Watts
  • Patent number: 10593800
    Abstract: Embodiments of field effect transistor (FET) circuits, RF switches, and devices include source and drain terminals coupled to an active surface of a semiconductor substrate, a channel in the substrate between the source and drain terminals, and a plurality of gate structures coupled to the active surface over the channel. A channel contact is coupled to the active surface over the channel between a pair of the gate structures. A first capacitor is electrically coupled between the channel contact and the source terminal, and a second capacitor is electrically coupled between the channel contact and the drain terminal.
    Type: Grant
    Filed: April 30, 2019
    Date of Patent: March 17, 2020
    Assignee: NXP USA, Inc.
    Inventor: Venkata Naga Koushik Malladi
  • Patent number: 10591786
    Abstract: A mask structure is provided. The mask structure includes a plurality of mask units arranged in an array. The mask units includes a first mask configured to form a first transparent electrode in a corresponding area of a surface of the array substrate, and a second mask connected with the first mask, and configured to form a second transparent electrode in a corresponding area of the surface of the array substrate. The first mask and the second mask have different light transmittances, and light transmittance of the second mask is less than light transmittance of the first mask, to allow in different amounts of light.
    Type: Grant
    Filed: November 6, 2017
    Date of Patent: March 17, 2020
    Assignee: Shenzhen China Star Optoelectronics Semiconductor Display Technology Co., Ltd.
    Inventor: Hongyuan Xu
  • Patent number: 10586747
    Abstract: The present disclosure relates to a mold module that includes a device layer, a number of first bump structures, a first mold compound, a stop layer, and a second mold compound. The device layer includes a number of input/output (I/O) contacts at a top surface of the device layer. Each first bump structure is formed over the device layer and electronically coupled to a corresponding I/O contact. The first mold compound resides over the device layer, and a portion of each first bump structure is exposed through the first mold compound. The stop layer is formed underneath the device layer. The second mold compound resides underneath the stop layer, such that the stop layer separates the device layer from the second mold compound.
    Type: Grant
    Filed: May 30, 2018
    Date of Patent: March 10, 2020
    Assignee: Qorvo US, Inc.
    Inventors: Julio C. Costa, Merrill Albert Hatcher, Jr., Peter V. Wright, Jon Chadwick
  • Patent number: 10580901
    Abstract: A method of forming a semiconductor device and resulting structures having stacked vertical field effect transistors (VFETs) connected in series. A first semiconductor fin and a second semiconductor fin are formed on a doped region of a substrate. A shared gate is formed over a channel region of the first semiconductor fin and a channel region of the second semiconductor fin. A shared epitaxy region is formed on a surface of the first semiconductor fin and a surface of the second semiconductor fin.
    Type: Grant
    Filed: September 2, 2016
    Date of Patent: March 3, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Karthik Balakrishnan, Pouya Hashemi, Tak H. Ning, Alexander Reznicek
  • Patent number: 10580899
    Abstract: Nanowire structures having non-discrete source and drain regions are described. For example, a semiconductor device includes a plurality of vertically stacked nanowires disposed above a substrate. Each of the nanowires includes a discrete channel region disposed in the nanowire. A gate electrode stack surrounds the plurality of vertically stacked nanowires. A pair of non-discrete source and drain regions is disposed on either side of, and adjoining, the discrete channel regions of the plurality of vertically stacked nanowires.
    Type: Grant
    Filed: January 13, 2017
    Date of Patent: March 3, 2020
    Assignee: Intel Corporation
    Inventors: Stephen M. Cea, Annalisa Cappellani, Martin D. Giles, Rafael Rios, Seiyon Kim, Kelin J. Kuhn
  • Patent number: 10580898
    Abstract: The present disclosure provides semiconductor devices and methods for manufacturing same and relates to the field of semiconductor technologies. Some implementations of a method may include: providing a semiconductor structure, where the semiconductor structure includes a substrate, a semiconductor fin having a first conductivity type and disposed on the substrate, and a gate structure covering a part of the semiconductor fin, where the semiconductor fin includes a first part and a second part respectively located on two sides of the gate structure; executing first doping on the first part and the second part of the semiconductor fin, where a dopant from the first doping has a second conductivity type that is opposite to the first conductivity type; and after the first doping is executed, forming a source on the first part of the semiconductor fin and forming a drain on the second part of the semiconductor fin.
    Type: Grant
    Filed: August 21, 2018
    Date of Patent: March 3, 2020
    Assignees: Semiconductor Manufacturing International (Beijing) Corporation, Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Meng Zhao
  • Patent number: 10580882
    Abstract: Embodiments of the present invention are directed to low band gap channel semiconductor devices. In an example, a device includes a first semiconductor material formed above a substrate, the first semiconductor material having a first band gap. A gate dielectric layer is on a surface of the first semiconductor material. A gate electrode is on the gate dielectric layer. A pair of source/drain regions is on opposite sides of the gate electrode. A channel is disposed in the first semiconductor material between the pair of source/drain regions and beneath the gate electrode. The pair of source/drain regions includes a second semiconductor material having a second band gap, and a third semiconductor material having a third band gap. The second semiconductor material is between the first semiconductor material and the third semiconductor material, and the second band gap is greater than the first bandgap.
    Type: Grant
    Filed: December 21, 2015
    Date of Patent: March 3, 2020
    Assignee: Intel Corporation
    Inventors: Gilbert Dewey, Jack T. Kavalieros, Willy Rachmady, Matthew V. Metz, Van H. Le, Seiyon Kim, Benjamin Chu-Kung
  • Patent number: 10580897
    Abstract: Disclosed are structures (e.g., a fin-type field effect transistor (FINFET) and a nanowire-type FET (NWFET)) and methods of forming the structures. In the methods, a fin is formed. For a FINFET, the fin includes a first semiconductor material. For an NWFET, the fin includes alternating layers of first and second semiconductor materials. A gate is formed on the fin. Recesses are formed in the fin adjacent to the gate and extend to (or into) a semiconductor layer, below, made of the second semiconductor material. An oxidation process forms oxide layers on exposed semiconductor surfaces in the recesses including a first oxide material on the first semiconductor material and a second oxide material on the second semiconductor material. The first oxide material is then selectively removed and source/drain regions are formed by lateral epitaxial deposition in the recesses. The remaining second oxide material minimizes sub-channel region source-to-drain leakage.
    Type: Grant
    Filed: July 26, 2018
    Date of Patent: March 3, 2020
    Assignee: GLOBALFOUNDRIES INC.
    Inventor: Bartlomiej J. Pawlak
  • Patent number: 10566195
    Abstract: Methods of multiple patterning. First and second mandrel lines are formed on a patternable layer. Sidewall spacers are formed on the patternable layer adjacent to the first mandrel line and adjacent to the second mandrel line. A portion of the first mandrel line is removed to form a gap in the first mandrel line. A gapfill material is deposited in the gap in the first mandrel line. The gapfill material and sidewall spacers are composed of the same material.
    Type: Grant
    Filed: August 29, 2017
    Date of Patent: February 18, 2020
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Jiehui Shu, Jinping Liu, Rui Chen
  • Patent number: 10566235
    Abstract: A method for fabricating a backside contact using a silicon-on-insulator substrate that includes a device layer, a buried insulator layer, and a handle wafer. The method includes forming an electrically-conducting connection in a trench. The handle wafer is removed. After the handle wafer is removed, the buried insulator layer is partially removed to expose the electrically-conducting connection. After the buried insulator layer is partially removed, a final substrate is connected to the buried insulator layer such that the electrically-conducting connection contacts the final substrate.
    Type: Grant
    Filed: October 19, 2017
    Date of Patent: February 18, 2020
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey P. Gambino, Mark D. Jaffe, Steven M. Shank, Anthony K. Stamper
  • Patent number: 10566244
    Abstract: A method for fabricating semiconductor device includes the steps of: providing a substrate having a first region and a second region; forming a first fin-shaped structure on the first region; forming a first gate structure and a second gate structure on the first fin-shaped structure; using a patterned mask to remove the first gate structure and part of the first fin-shaped structure to form a first trench; and forming a first dielectric layer in the first trench to form a first single diffusion break (SDB) structure and around the second gate structure.
    Type: Grant
    Filed: August 1, 2018
    Date of Patent: February 18, 2020
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Zhi-Cheng Lee, Kai-Lin Lee, Wei-Jen Chen
  • Patent number: 10566442
    Abstract: Embodiments are directed to a method and resulting structures for a semiconductor device having reduced parasitic capacitance. A semiconductor fin is formed on a substrate. A first bottom spacer is formed on a surface of the substrate and a sidewall of the semiconductor fin. A sacrificial spacer is formed over a channel region of the semiconductor fin and a portion of the first bottom spacer. A second bottom spacer is formed on a surface of the first bottom spacer and adjacent to the sacrificial spacer. The sacrificial spacer is removed and a conductive gate is formed over the channel region of the semiconductor fin.
    Type: Grant
    Filed: June 26, 2018
    Date of Patent: February 18, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Ruilong Xie, Tenko Yamashita, Chun-Chen Yeh
  • Patent number: 10566444
    Abstract: A method of forming a vertical fin field effect device is provided. The method includes, forming a vertical fin on a substrate, forming a masking block on the vertical fin, wherein the masking block extends a distance outward from the vertical fin sidewalls and endwalls, and a portion of the substrate surrounding the masking block is exposed. The method further includes removing at least a portion of the exposed portion of the substrate to form a recess and a fin mesa below the vertical fin, removing a portion of the fin mesa to form an undercut recess below an overhanging portion of the masking block, forming a spacer layer on the masking block and in the undercut recess, and removing a portion of the spacer layer to form an undercut spacer in the undercut recess.
    Type: Grant
    Filed: December 21, 2017
    Date of Patent: February 18, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Chen Zhang, Kangguo Cheng, Xin Miao, Wenyu Xu
  • Patent number: 10566439
    Abstract: A method of fabricating an electronic device includes providing a III-V substrate having a hexagonal crystal structure and a normal to a growth surface characterized by a misorientation from the <0001> direction of between 0.15° and 0.65°. The method also includes growing a first III-V epitaxial layer coupled to the III-V substrate and growing a second III-V epitaxial layer coupled to the first III-V epitaxial layer. The method further includes forming a first contact in electrical contact with the III-V substrate and forming a second contact in electrical contact with the second III-V epitaxial layer.
    Type: Grant
    Filed: May 28, 2019
    Date of Patent: February 18, 2020
    Assignee: NEXGEN POWER SYSTEMS, INC.
    Inventors: Isik C. Kizilyalli, Dave P. Bour, Thomas R. Prunty, Gangfeng Ye
  • Patent number: 10559673
    Abstract: A semiconductor device includes an active pillar on a substrate. A first source/drain region is disposed at a top end of the active pillar and has a greater width than the active pillar. A first insulating layer is disposed on a sidewall of the active pillar and a second insulating layer is disposed on at least a bottom surface of the first source/drain region. A gate electrode is disposed on the first insulating layer and the second insulating layer. A second source/drain region is disposed in the substrate at a bottom end of the active pillar. Methods of fabrication are also described.
    Type: Grant
    Filed: February 25, 2019
    Date of Patent: February 11, 2020
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sungil Park, Changhee Kim, Yunil Lee, Mirco Cantoro, Junggun You, Donghun Lee
  • Patent number: 10553700
    Abstract: A method is presented for performing a gate cut in a field effect transistor (FET) structure. The method includes forming a plurality of fins and at least one insulating pillar over a semiconductor substrate, depositing a first work function metal layer, removing the first work function metal layer from a first set of fins, depositing a second work function metal layer, depositing a conductive material over the second work function metal layer, forming at least one gate trench through the conductive material and adjacent the first set of fins to separate active gate regions, and filling the at least one gate trench with an insulating material.
    Type: Grant
    Filed: May 29, 2018
    Date of Patent: February 4, 2020
    Assignee: International Business Machines Corporation
    Inventors: Ruqiang Bao, Siva Kanakasabapathy, Andrew M. Greene
  • Patent number: 10553677
    Abstract: A semiconductor wafer is provided. The semiconductor wafer includes a wafer body including a first surface and a second surface opposite the first surface; and a bevel portion disposed along an outer circumference of the wafer body and including an inclined surface, an outermost point, a first surface end portion connecting the bevel portion to the first surface and a second surface end portion connecting the bevel portion to the second surface. A first bevel angle between a first tangential direction of the inclined surface and the first surface corresponds to a capillary force of a fluid on the first surface, and a first bevel length between the first surface end portion and the outermost point along a first direction substantially parallel to the first surface corresponds to a first surface flatness.
    Type: Grant
    Filed: July 13, 2018
    Date of Patent: February 4, 2020
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Yeon-sook Kim, In-ji Lee, Doek-gil Ko, Woo-seung Jung
  • Patent number: 10553716
    Abstract: In an embodiment, this invention relates to a vertical field-effect transistor component including a bottom source-drain layer and a method of creating the same. The method of forming a bottom source-drain layer of a vertical field-effect transistor component can comprise forming an anchor structure on a substrate. A sacrificial layer can be deposited on a middle region of the substrate and a channel layer can be deposited on the sacrificial layer. A plurality of vertical fins can be formed on the substrate and the sacrificial layer can be removed such that the plurality of vertical fins in the middle region form a plurality of floating fins having a gap located between the plurality of floating fins and the substrate. The bottom source-drain layer can then be formed such that the bottom source-drain layer fills in the gap.
    Type: Grant
    Filed: February 28, 2019
    Date of Patent: February 4, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Marc A. Bergendahl, Kangguo Cheng, Fee Li Lie, Shogo Mochizuki, Junli Wang
  • Patent number: 10553581
    Abstract: A method of forming a semiconductor device that includes forming a trench adjacent to a gate structure to expose a contact surface of one of a source region and a drain region. A sacrificial spacer may be formed on a sidewall of the trench and on a sidewall of the gate structure. A metal contact may then be formed in the trench to at least one of the source region and the drain region. The metal contact has a base width that is less than an upper surface width of the metal contact. The sacrificial spacer may be removed, and a substantially conformal dielectric material layer can be formed on sidewalls of the metal contact and the gate structure. Portions of the conformally dielectric material layer contact one another at a pinch off region to form an air gap between the metal contact and the gate structure.
    Type: Grant
    Filed: December 7, 2016
    Date of Patent: February 4, 2020
    Assignee: International Business Machines Corporation
    Inventors: Marc A. Bergendahl, Kangguo Cheng, Fee Li Lie, Eric R. Miller, John R. Sporre, Sean Teehan
  • Patent number: 10547304
    Abstract: A semiconductor integrated circuit for driving a control terminal of a switching device includes: a driver circuit that alternately applies a positive voltage supplied from a positive voltage source and a negative voltage supplied from a negative voltage source to the control terminal in order to switch the switching device ON and OFF; and a negative voltage clamp diode that is integrated into a semiconductor chip on which the driver circuit is formed, an anode thereof being connected to the negative voltage source and a cathode thereof being connected to the control terminal.
    Type: Grant
    Filed: August 10, 2018
    Date of Patent: January 28, 2020
    Assignee: FUJI ELECTRIC CO., LTD.
    Inventor: Masaharu Yamaji
  • Patent number: 10546929
    Abstract: An integrated circuit includes a substrate; a buried insulating layer; at least one nMOS transistor comprising a semiconductor layer placed above the buried insulating layer; at least one pMOS transistor comprising a semiconductor layer placed above the buried insulating layer; at least one semiconductor groundplane that may be doped or a metal, placed above the substrate and below the buried insulating layer, said buried plane being common to the nMOS transistor and to the pMOS transistor; at least one gate insulator and a gate that is common to the nMOS transistor and to the pMOS transistor and that is located above the channel of these transistors and facing the groundplane, the area of the groundplane at least covering the area of the gate in vertical projection; the nMOS transistor being separated from the pMOS transistor by an isolation defined between the semiconductor layer of the nMOS transistor and the semiconductor layer of the pMOS transistor, the isolation being located in the buried insulating l
    Type: Grant
    Filed: July 19, 2018
    Date of Patent: January 28, 2020
    Assignees: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES, STMICROELECTRONICS (CROLLES 2) SAS
    Inventors: François Andrieu, Remy Berthelon
  • Patent number: 10547299
    Abstract: A circuit includes a first transistor having a first control input and first and second current terminals, and a second transistor having a second control input and third and fourth current terminals. A third transistor has a third control input and fifth and sixth current terminals, the fifth current terminal coupled to the first current terminal at a first supply voltage node. A fourth transistor has a fourth control input and seventh and eighth current terminals, the seventh current terminal coupled to the second and sixth current terminals. A pulse generator has a pulse generator input and a first pulse generator output, the pulse generator input configured to receive a switch control signal, and the first pulse generator output coupled to the first control input. The third control input is configured to receive either the switch control signal or a logical inverse of the switch control signal.
    Type: Grant
    Filed: May 21, 2019
    Date of Patent: January 28, 2020
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Aditya Vighnesh Ramakanth Bommireddipalli, Christopher Paul Lash
  • Patent number: 10546789
    Abstract: Methods and devices for enhancing mobility of charge carriers. An integrated circuit may include semiconductor devices of two types. The first type of device may include a metallic gate and a channel strained in a first manner. The second type of device may include a metallic gate and a channel strained in a second manner. The gates may include, collectively, three or fewer metallic materials. The gates may share a same metallic material. A method of forming the semiconductor devices on an integrated circuit may include depositing first and second metallic layers in first and second regions of the integrated circuit corresponding to the first and second gates, respectively.
    Type: Grant
    Filed: December 31, 2015
    Date of Patent: January 28, 2020
    Assignee: STMICROELECTRONICS, INC.
    Inventors: John H. Zhang, Chengyu Niu, Heng Yang
  • Patent number: 10541287
    Abstract: The present disclosure provides a display substrate, a manufacturing method thereof, a display panel, and a display device. The display substrate includes: a substrate; and a thin film transistor, provided on a first surface of the substrate and including an electrode and an insulating layer, the insulating layer covering the electrode, wherein a groove is formed on a surface of the insulating layer away from the electrode, and an orthogonal projection of a bottom wall of the groove on the substrate overlaps with an orthogonal projection of the electrode on the substrate. In the display substrate provided by the present disclosure, a surface of the insulating layer covering the electrode away from the substrate is planarized, so that the subsequently formed layer structures may have better flatness, and problems of faulting, fracturing and so on likely occurring when the display substrate is bent may be solved.
    Type: Grant
    Filed: July 31, 2018
    Date of Patent: January 21, 2020
    Assignees: BEIJING BOE DISPLAY TECHNOLOGY CO., LTD., BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Ning Cui, Jiazuo Sai, Yanqiu Li, Juan Yu
  • Patent number: 10535680
    Abstract: The present disclosure provides a semiconductor structure. The semiconductor structure includes a semiconductor substrate having a first region and a second region; a first fin active region of a first semiconductor material disposed within the first region, oriented in a first direction, wherein the first fin active region has a <100> crystalline direction along the first direction; and a second fin active region of a second semiconductor material disposed within the second region and oriented in the first direction, wherein the second fin active region has a <110> crystalline direction along the first direction.
    Type: Grant
    Filed: November 1, 2017
    Date of Patent: January 14, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Tzer-Min Shen, Zhiqiang Wu, Chung-Cheng Wu, Ching-Wei Tsai, Kuan-Lun Cheng, Chih-Hao Wang, Min Cao
  • Patent number: 10535551
    Abstract: Lateral PiN diodes and Schottky diodes with low parasitic capacitance and variable breakdown voltage structures and methods of manufacture are disclosed. The structure includes a diode with breakdown voltage determined by a dimension between p-and n-terminals formed in an i-region above a substrate.
    Type: Grant
    Filed: March 13, 2018
    Date of Patent: January 14, 2020
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Natalie B. Feilchenfeld, Vibhor Jain, Qizhi Liu