Single Crystal Semiconductor Layer On Insulating Substrate (soi) Patents (Class 257/347)
  • Patent number: 11011515
    Abstract: A semiconductor device containing an enhancement mode GaN FET on a III-N layer stack includes a low-doped GaN layer, a barrier layer including aluminum over the low-doped GaN layer, a stressor layer including indium over the barrier layer, and a cap layer including aluminum over the stressor layer. A gate recess extends through the cap layer and the stressor layer, but not through the barrier layer. The semiconductor device is formed by forming the barrier layer with a high temperature MOCVD process, forming the stressor layer with a low temperature MOCVD process and forming the cap layer with a low temperature MOCVD process. The gate recess is formed by a two-step etch process including a first etch step to remove the cap layer, and a second etch step to remove the stressor layer.
    Type: Grant
    Filed: May 24, 2018
    Date of Patent: May 18, 2021
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Qhalid Fareed, Naveen Tipirneni
  • Patent number: 11004937
    Abstract: A semiconductor device includes a substrate, a gate structure, a source/drain region, a contact opening, an etching stop layer, an interlayer dielectric layer, and a first contact structure. The substrate includes a buried insulation layer, a semiconductor layer, and an isolation structure. The semiconductor layer is disposed on the buried insulation layer. The gate structure is disposed on the semiconductor layer. The isolation structure and the source/drain region are disposed in the semiconductor layer. The contact opening penetrates at least a part of the substrate. The etching stop layer is disposed on the gate structure, the source/drain region, a sidewall of the contact opening, and a bottom of the contact opening. The interlayer dielectric layer is disposed on the etching stop layer. The first contact structure penetrates the interlayer dielectric layer and the etching stop layer in the contact opening.
    Type: Grant
    Filed: January 2, 2020
    Date of Patent: May 11, 2021
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventor: Jian Shi
  • Patent number: 11004933
    Abstract: Field effect transistors include a stack of nanowires of vertically arranged channel layers. A source and drain region is disposed at respective ends of the vertically arranged channel layers. A gate stack is formed over, around, and between the vertically arranged channel layers. Internal spacers are each formed between the gate stack and a respective source or drain region, with at least one pair of spacers being positioned above an uppermost channel layer.
    Type: Grant
    Filed: July 23, 2018
    Date of Patent: May 11, 2021
    Assignee: Tessera, Inc.
    Inventors: Josephine B. Chang, Bruce B. Doris, Michael A. Guillorn, Isaac Lauer, Xin Miao
  • Patent number: 10998237
    Abstract: A semiconductor structure includes a fin active region extruded from a semiconductor substrate; and a gate stack disposed on the fin active region. The gate stack includes a gate dielectric layer and a gate electrode disposed on the gate dielectric layer. The gate dielectric layer includes a first dielectric material. The semiconductor structure further includes a dielectric gate of a second dielectric material disposed on the fin active region. The gate dielectric layer extends from a sidewall of the gate electrode to a sidewall of the dielectric gate. The second dielectric material is different from the first dielectric material in composition.
    Type: Grant
    Filed: April 20, 2020
    Date of Patent: May 4, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Ta-Chun Lin, Buo-Chin Hsu, Kuo-Hua Pan, Jhon Jhy Liaw, Chih-Yung Lin
  • Patent number: 10998429
    Abstract: In a method of manufacturing a semiconductor device, a fin structure, in which first semiconductor layers and second semiconductor layers are alternately stacked, is formed over a bottom fin structure. A sacrificial gate structure having sidewall spacers is formed over the fin structure. A source/drain region of the fin structure, which is not covered by the sacrificial gate structure, is removed. The second semiconductor layers are laterally recessed. Dielectric inner spacers are formed on lateral ends of the recessed second semiconductor layers. The first semiconductor layers are laterally recessed. A source/drain epitaxial layer is formed to contact lateral ends of the recessed first semiconductor layer. The second semiconductor layers are removed thereby releasing the first semiconductor layers in a channel region. A gate structure is formed around the first semiconductor layers.
    Type: Grant
    Filed: September 27, 2019
    Date of Patent: May 4, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chao-Ching Cheng, Hung-Li Chiang, Tzu-Chiang Chen, I-Sheng Chen
  • Patent number: 10998234
    Abstract: Embodiments of the present invention are directed to a method that prevents punch-through of a bottom isolation layer and improves the quality of the source/drain epitaxial growth in a nanosheet semiconductor structure. In a non-limiting embodiment of the invention, a bottom isolation structure is formed over a substrate. The bottom isolation structure includes a tri-layer stack in a first region of the substrate and a bi-layer stack in a second region of the substrate. A nanosheet stack is formed over the bottom isolation structure in the first region of the substrate. A gate is formed over a channel region of the nanosheet stack.
    Type: Grant
    Filed: May 14, 2019
    Date of Patent: May 4, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Ruilong Xie, Veeraraghavan Basker, Nicolas Loubet, Balasubramanian Pranatharthiharan
  • Patent number: 10991723
    Abstract: A semiconductor device according to an embodiment of the present disclosure includes: an SOI substrate in which a silicon substrate layer, a first insulating layer, and a semiconductor layer are layered in this order; a first transistor provided on the semiconductor layer; a second transistor provided on the silicon substrate layer and withstanding a higher voltage than the first transistor; and an element separation film provided between the first transistor and the second transistor, in which the element separation film includes a second insulating layer embedded in an opening that penetrates the semiconductor layer and the first insulating layer and reaches an inside of the silicon substrate layer, and a portion of the second insulating layer constitutes a gate insulating film of the second transistor.
    Type: Grant
    Filed: January 17, 2018
    Date of Patent: April 27, 2021
    Assignee: SONY SEMICONDUCTOR SOLUTIONS CORPORATION
    Inventor: Tetsuo Gocho
  • Patent number: 10983117
    Abstract: Disclosed are devices that comprise a protein, such as an antibody, placed into electronic communication with a semiconductor material, such as a carbon nanotube. The devices are useful in assessing the presence or concentration of analytes contacted to the devices, including the presence of markers for prostate cancer and Lyme disease.
    Type: Grant
    Filed: August 30, 2012
    Date of Patent: April 20, 2021
    Assignees: The Trustees of the University of Pennsylvania, The Institute For Cancer Research
    Inventors: Alan T. Johnson, Jr., Mitchell Lerner, Matthew W. Robinson, Tatiana Pazina, Dustin Brisson, Jennifer Dailey, Brett R. Goldsmith
  • Patent number: 10985279
    Abstract: Semiconductor devices and methods for forming the semiconductor devices include forming a sacrificial layer on a substrate on each side of a stack of nanosheets, the stack of nanosheets including first nanosheets and second nanosheets stacked in alternating fashion with a dummy gate structure formed thereon. Source and drain regions are grown on from the sacrificial layer and from ends of the second nanosheets to form source and drain regions in contact with each side of the stack of nanosheets. The sacrificial layer is removed. An interlevel dielectric is deposited around the source and drain regions to fill between the source and drain regions and the substrate.
    Type: Grant
    Filed: March 13, 2019
    Date of Patent: April 20, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Juntao Li, Peng Xu, Zhenxing Bi
  • Patent number: 10985276
    Abstract: A method for manufacturing a semiconductor device includes providing a semiconductor structure having a semiconductor substrate and a gate structure on the semiconductor substrate. The gate structure includes a gate dielectric layer on the semiconductor substrate, a gate on the gate dielectric layer, and a spacer layer on opposite sides of the gate. The method also includes etching the semiconductor substrate to form first and second recesses, etching a portion of the spacer layer to expose a surface portion of the semiconductor substrate, and forming a source filling the first recess and a drain filling the second recess. The source (drain) includes a first source (drain) portion in the first (second) recess and a second source (drain) portion on the first source (drain) portion. The second source portion or the second drain portion covers the exposed surface portion of the semiconductor substrate.
    Type: Grant
    Filed: September 3, 2019
    Date of Patent: April 20, 2021
    Assignees: Semiconductor Manufacturing International (Shanghai) Corporation, Semiconductor Manufacturing International (Beijing) Corporation
    Inventor: Meng Zhao
  • Patent number: 10978557
    Abstract: A method includes forming a plurality of first semiconductor layers and second semiconductor layers in an alternate manner over a substrate; etching the first semiconductor layers and second semiconductor layers to form a fin structure, in which the fin structure comprises a plurality of first nanowires and second nanowires alternately arranged, the first nanowires have respective remaining portions of the first semiconductor layers, and the second nanowires have respective remaining portions of second semiconductor layers; forming a dummy gate over the fin structure; forming a plurality of gate spacers on opposite sidewalls of the dummy gate, respectively; replacing the dummy gate with a metal gate; removing first portions of the second nanowires exposed by the metal gate and metal gate and the gate spacers suspended; and forming an epitaxy layer wrapping around the first portions of the first nanowires, in which opposite sidewalls of the epitaxy layer have zig-zag contour.
    Type: Grant
    Filed: June 24, 2019
    Date of Patent: April 13, 2021
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Martin Christopher Holland, Mark Van Dal, Georgios Vellianitis, Blandine Duriez, Gerben Doornbos
  • Patent number: 10978340
    Abstract: A silicon on insulator substrate includes a semiconductor bulk handle wafer, an insulating layer on said semiconductor bulk handle wafer and a semiconductor film on said insulating layer. An opening extends completely through the semiconductor film and insulating layer to expose a surface of the semiconductor bulk handle wafer. Epitaxial material fills the opening and extends on said semiconductor film, with the epitaxial material and semiconductor film forming a thick semiconductor film. A trench isolation surrounds a region of the thick semiconductor film to define an electrical contact made to the semiconductor bulk handle wafer through the opening.
    Type: Grant
    Filed: April 15, 2019
    Date of Patent: April 13, 2021
    Assignees: STMicroelectronics (Crolles 2) SAS, STMicroelectronics SA
    Inventors: Didier Dutartre, Jean-Pierre Carrere, Jean-Luc Huguenin, Clement Pribat, Sarah Kuster
  • Patent number: 10978487
    Abstract: An inverter includes a semiconductor substrate. A Z2-FET switch is disposed at a first surface of the semiconductor substrate and a further switch is disposed at the first surface of the semiconductor substrate. The further switch and the Z2-FET switch have current paths coupled between a first reference terminal and a second reference terminal.
    Type: Grant
    Filed: February 28, 2019
    Date of Patent: April 13, 2021
    Assignees: STMICROELECTRONICS (CROLLES 2) SAS, STMICROELECTRONICS SA
    Inventors: Hassan El Dirani, Pascal Fonteneau
  • Patent number: 10978500
    Abstract: Embodiments of the present invention provide a flexible base substrate and a fabrication method thereof. The flexible base substrate comprises: a first flexible film layer, having an upper surface and a lower surface opposite to each other, wherein a plurality of concave parts are arranged on the lower surface of the first flexible film layer.
    Type: Grant
    Filed: May 31, 2019
    Date of Patent: April 13, 2021
    Assignee: BOE Technology Group Co., Ltd.
    Inventors: Tao Gao, Xue Mao, Weifeng Zhou
  • Patent number: 10969637
    Abstract: An electrostatic discharge circuit and a display panel are provided. The electrostatic discharge circuit comprises a first discharge unit and an associated discharge unit. An output terminal of the first discharge unit is coupled to a common terminal. A control terminal of the first discharge unit is coupled to a high voltage terminal or a low voltage terminal. An input terminal of the first discharge unit is coupled to an electrostatic input terminal. An input terminal of the associated discharge unit is coupled to the electrostatic input terminal, and an output terminal of the associated discharge unit is coupled to the control terminal of the first discharge unit.
    Type: Grant
    Filed: December 13, 2017
    Date of Patent: April 6, 2021
    Assignees: HKC Corporation Limited, Chongqing HKC Optoelectronics Technology Co., Ltd.
    Inventor: Yu-Jen Chen
  • Patent number: 10971493
    Abstract: An integrated circuit device includes: a first fin structure disposed on a substrate in a first direction; a second fin structure disposed on the substrate and aligned in the first direction; a third fin structure disposed on the substrate and aligned in the first direction; a fourth fin structure disposed on the substrate and aligned in the first direction; and a first conductive line aligned in a second direction arranged to wrap a first portion, a second portion, a third portion, and a fourth portion of the first fin structure, the second fin structure, the third fin structure, and the fourth fin structure respectively. A first distance between the first fin structure and the second fin structure is different from a second distance between the third fin structure and the fourth fin structure.
    Type: Grant
    Filed: June 14, 2018
    Date of Patent: April 6, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Kam-Tou Sio, Shang-Wei Fang, Jiann-Tyng Tzeng, Chew-Yuen Young
  • Patent number: 10971633
    Abstract: In accordance with an embodiment of the present invention, a method of making a semiconductor device includes simultaneously etching a semiconductor layer and a conductive layer to form a self-aligned diode region disposed on an insulating layer, where the semiconductor layer has a first conductivity type. The method further includes etching through first openings of a mask layer to form first implantation surfaces on the semiconductor layer and to form a plurality of projecting regions including conductive material of the conductive layer over the semiconductor layer. The method further includes using the plurality of projecting regions as a part of a first implantation mask, performing a first implantation of dopants having a second conductivity type into the semiconductor layer, to form a sequence of PN junctions forming diodes in the semiconductor layer. The diodes vertically extend from an upper surface of the semiconductor layer to the insulating layer.
    Type: Grant
    Filed: September 4, 2019
    Date of Patent: April 6, 2021
    Assignee: STMICROELECTRONICS (ROUSSET) SAS
    Inventors: Francesco La Rosa, Stephan Niel, Arnaud Regnier
  • Patent number: 10971588
    Abstract: A semiconductor device includes a fin structure, first and second gate structures, a source/drain region, a source/drain contact layer and a separation layer. The fin structure protrudes from an isolation insulating layer disposed over a substrate and extends in a first direction. The first and second gate structures are formed over the fin structure and extend in a second direction crossing the first direction. The source/drain region is disposed between the first and second gate structures. The interlayer insulating layer is disposed over the fin structure, the first and second gate structures and the source/drain region. The first source/drain contact layer is disposed on the first source/drain region. The separation layer is disposed adjacent to the first source/drain contact layer. Ends of the first and second gate structures and an end of the source drain contact layer are in contact with a same face of the separation layer.
    Type: Grant
    Filed: October 11, 2019
    Date of Patent: April 6, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yi-Jyun Huang, Tung-Heng Hsieh, Bao-Ru Young
  • Patent number: 10964767
    Abstract: This organic-EL display apparatus comprises an organic-EL display panel including: a substrate that is provided with pixel drive circuits to drive respective pixels arranged in a matrix along each of a first direction and a second direction, and organic light-emitting elements being provided to each of the pixels and connected to any one of the pixel drive circuits. The organic-EL display panel comprises a signal output circuit to supply a signal to each of the pixel drive circuits arranged in a line along the first direction or the second direction. The signal output circuit includes thin film transistors and is formed around a display region on a surface of the substrate. The thin film transistors include a semiconductor layer including a region to be a channel between a source electrode and a drain electrode. The semiconductor layer is formed of amorphous silicon.
    Type: Grant
    Filed: April 11, 2018
    Date of Patent: March 30, 2021
    Assignee: SAKAI DISPLAY PRODUCTS CORPORATION
    Inventors: Yukiya Nishioka, Katsuhiko Kishimoto
  • Patent number: 10964773
    Abstract: An organic electroluminescent device includes a first transistor, a power supply line layer connected to one current terminal of the first transistor, a capacitive element including a first capacitive electrode connected to a gate of the first transistor, and a second capacitive electrode, a signal line, and a pixel electrode connected to the other current terminal of the first transistor, the first capacitive electrode is provided on a layer over the gate of the first transistor, and the power supply line layer is provided on a layer between the first capacitive electrode and the signal line.
    Type: Grant
    Filed: June 18, 2020
    Date of Patent: March 30, 2021
    Assignee: SEIKO EPSON CORPORATION
    Inventors: Hitoshi Ota, Ryoichi Nozawa
  • Patent number: 10964910
    Abstract: A display device includes a substrate including a plastic layer, a barrier layer, and a display area in which an image is displayed. The display device further includes a light-emitting diode disposed in the display area, a planarization layer, and a pixel definition layer. The planarization layer and the pixel definition layer overlap the light-emitting diode. The display device further includes a thin film encapsulation layer disposed on the pixel definition layer. The thin film encapsulation layer includes at least one inorganic layer. The display device further includes an opening disposed in the display area and penetrating the substrate. The opening includes a protruded portion and a depressed portion, and the barrier layer overlaps at least one of the pixel definition layer and the planarization layer at the protruded portion.
    Type: Grant
    Filed: December 18, 2018
    Date of Patent: March 30, 2021
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Seung Ho Yoon, Woo Yong Sung, Won Je Cho, Won Woo Choi
  • Patent number: 10964718
    Abstract: Embodiments of a method for forming three-dimensional (3D) memory devices include the following operations. First, an initial channel hole is formed in a stack structure of a plurality first layers and a plurality of second layers alternatingly arranged over a substrate. An offset is formed between a side surface of each one of the plurality of first layers and a side surface of each one of the plurality of second layers on a sidewall of the initial channel hole to form a channel hole. A semiconductor channel is formed by filling the channel hole with a channel-forming structure. The semiconductor channel may have a memory layer including a plurality of first memory portions each surrounding a bottom of a respective second layer and a plurality of second memory portions each connecting adjacent first memory portions. Further, the plurality of second memory portions is removed.
    Type: Grant
    Filed: August 14, 2019
    Date of Patent: March 30, 2021
    Assignee: YANGTZE MEMORY TECHNOLOGIES CO., LTD.
    Inventor: Li Hong Xiao
  • Patent number: 10957765
    Abstract: A semiconductor device is provided including a substrate, a first gate structure, a first contact plug and a power rail. The substrate includes first and second cell regions extending in a first direction, and a power rail region connected to each of opposite ends of the first and second cell regions in a second direction. The first gate structure extends in the second direction from a boundary area between the first and second cell regions to the power rail region. The first contact plug is formed on the power rail region, and contacts an upper surface of the first gate structure. The power rail extends in the first direction on the power rail region, and is electrically connected to the first contact plug. The power rail supplies a turn-off signal to the first gate structure through the first contact plug to electrically insulate the first and second cell regions.
    Type: Grant
    Filed: August 1, 2018
    Date of Patent: March 23, 2021
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Pan-Jae Park, Jae-Seok Yang, Young-Hun Kim, Hae-Wang Lee, Kwan-Young Chun
  • Patent number: 10957607
    Abstract: A method for manufacturing a semiconductor device is provided. A semiconductor substrate is received. The semiconductor substrate is patterned to form a plurality of protrusions spaced from one another, wherein the protrusion comprises a base section, and a seed section stacked on the base section. A plurality of first insulative structures are formed, covering sidewalls of the base sections and exposing sidewalls of the seed sections. A plurality of spacers are formed, covering the sidewalls of the seed sections. The first insulative structures are partially removed to partially expose the sidewalls of the base sections. The base sections exposed from the first insulative structures are removed. A plurality of second insulative structures are formed under the seed sections.
    Type: Grant
    Filed: December 27, 2019
    Date of Patent: March 23, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Wei-Sheng Yun, You-Ru Lin, Shao-Ming Yu
  • Patent number: 10957230
    Abstract: A shift register unit provided according to embodiments of the present disclosure includes an input circuit, a pull-up circuit, a control circuit, and a first discharge circuit. The pull-up circuit is configured to control an output of the signal output terminal. The control circuit is configured to control a potential of the second node based on a second voltage signal of the second voltage terminal and a potential of the first node. The first discharge circuit is configured to control, after being turned on under the control of the potential of the second node, the first node and the signal output terminal by using the third voltage terminal, and discharging a pixel unit, the first node and the signal output terminal, the pixel unit being connected to the signal output terminal.
    Type: Grant
    Filed: October 10, 2018
    Date of Patent: March 23, 2021
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: Liangliang Zheng, Tingting Jin, Tao Yu
  • Patent number: 10950581
    Abstract: A 3D semiconductor device including: a first level including a first layer, the first layer including first transistors, and where the first level includes a second layer, the second layer including first interconnections; a second level overlaying the first level, where the second level includes a third layer, the third layer including second transistors, where the second level includes a fourth layer, the fourth layer including second interconnections; and a plurality of connection paths, where the plurality of connection paths provides connections from a plurality of the first transistors to a plurality of the second transistors, where the second level is bonded to the first level, where the bonded includes oxide to oxide bond regions, where the bonded includes metal to metal bond regions, where the second level includes at least one memory array, where the third layer includes crystalline silicon, where the second layer includes radio frequency type circuits.
    Type: Grant
    Filed: October 7, 2020
    Date of Patent: March 16, 2021
    Assignee: MONOLITHIC 3D Inc.
    Inventors: Zvi Or-Bach, Brian Cronquist
  • Patent number: 10950727
    Abstract: An SOI wafer contains a compressively stressed buried insulator structure. In one example, the stressed buried insulator (BOX) may be formed on a host wafer by forming silicon oxide, silicon nitride and silicon oxide layers so that the silicon nitride layer is compressively stressed. Wafer bonding provides the surface silicon layer over the stressed insulator layer. Preferred implementations of the invention form MOS transistors by etching isolation trenches into a preferred SOI substrate having a stressed BOX structure to define transistor active areas on the surface of the SOI substrate. Most preferably the trenches are formed deep enough to penetrate through the stressed BOX structure and some distance into the underlying silicon portion of the substrate. The overlying silicon active regions will have tensile stress induced due to elastic edge relaxation.
    Type: Grant
    Filed: February 4, 2020
    Date of Patent: March 16, 2021
    Assignee: Acorn Semi, LLC
    Inventors: Paul A. Clifton, R. Stockton Gaines
  • Patent number: 10948513
    Abstract: An electronic device is based on a single crystal semiconductor substrate. A cavity is formed in the semiconductor substrate. Further, a movably suspended mass is defined by one or more trenches extending from one side of the semiconductor substrate to the cavity. A first electrode layer is provided on the suspended mass. Further, a cover layer covering the suspended mass is provided. The cover layer includes a second electrode layer arranged opposite to the first electrode layer and spaced therefrom by a gap.
    Type: Grant
    Filed: October 20, 2014
    Date of Patent: March 16, 2021
    Assignee: Infineon Technologies AG
    Inventor: Thoralf Kautzsch
  • Patent number: 10950434
    Abstract: A method includes forming a gate spacer on sidewalls of a dummy gate structure disposed over a semiconductor substrate; performing a first implantation process to the gate spacer, wherein the first implantation process includes bombarding an upper portion of the gate spacer with silicon atoms; after performing the first implantation process, performing a second implantation process to the upper portion of the gate spacer, wherein the second implantation process includes bombarding the upper portion of the gate spacer with carbon atoms; and after performing the second implantation process, replacing the dummy gate structure with a high-k metal gate structure, wherein the replacing includes forming an interlayer dielectric (ILD) layer.
    Type: Grant
    Filed: January 28, 2019
    Date of Patent: March 16, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yi-Ruei Jhan, Han-Yu Lin, Li-Te Lin, Pinyen Lin
  • Patent number: 10950726
    Abstract: The semiconductor device according to the present technology includes a hollow region or an insulating region. The hollow region or the insulating region is provided under a channel that is formed between a source of a first semiconductor type and a drain of the first semiconductor type in a body region of a second semiconductor type in a transistor, the body region being provided between the source and the drain.
    Type: Grant
    Filed: March 16, 2017
    Date of Patent: March 16, 2021
    Assignee: SONY CORPORATION
    Inventor: Yuki Yanagisawa
  • Patent number: 10943924
    Abstract: In accordance with embodiments of the present invention, a semiconductor device and method for forming a semiconductor device is described. The semiconductor device includes a substrate, including a buried dielectric layer between a base substrate and semiconductor layer. A fin is formed in the semiconductor substrate and having source and drain regions formed adjacent to each side of a gate structure. A heat conducting dielectric encapsulating a lower portion of the fin and source and drain regions above the buried dielectric layer to transfer heat away from the gate structure.
    Type: Grant
    Filed: April 18, 2018
    Date of Patent: March 9, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Choonghyun Lee, Sanghoon Shin, Takashi Ando
  • Patent number: 10943953
    Abstract: A semiconductor device is disclosed. The semiconductor device includes a hybrid transistor including a gate electrode, a drain material, a source material, and a channel material operatively coupled between the drain material and the source material. The source material and the drain material include a low bandgap high mobility material relative to the channel material that is high bandgap low mobility material. Memory arrays, semiconductor devices, and systems incorporating memory cells, and hybrid transistors are also disclosed, as well as related methods for forming and operating such devices.
    Type: Grant
    Filed: August 30, 2018
    Date of Patent: March 9, 2021
    Assignee: Micron Technology, Inc.
    Inventors: Kamal M. Karda, Haitao Liu, Durai Vishak Nirmal Ramaswamy
  • Patent number: 10937810
    Abstract: Sub-fin removal techniques for SOI like isolation in finFET devices are provided. In one aspect, a method for forming a finFET device includes: etching partial fins in a substrate, wherein the partial fins include top portions of fins of the finFET device; forming a bi-layer spacer on the top portions of the fins; complete etching of the fins in the substrate to form bottom portions of the fins of the finFET device; depositing an insulator between the fins; recessing the insulator enough to expose a region of the fins not covered by the bi-layer spacer; removing the exposed region of the fins to create a gap between the top and bottom portions of the fins; filling the gap with additional insulator. A method for forming a finFET device is also provided where placement of the fin spacer occurs after (rather than before) insulator deposition. A finFET device is also provided.
    Type: Grant
    Filed: August 15, 2019
    Date of Patent: March 2, 2021
    Assignee: International Business Machines Corporation
    Inventors: Marc A. Bergendahl, Kangguo Cheng, Gauri Karve, Fee Li Lie, Eric R. Miller, John R. Sporre, Sean Teehan
  • Patent number: 10930793
    Abstract: Provided is a nanosheet semiconductor device. In embodiments of the invention, the nanosheet semiconductor device includes a channel nanosheet formed over a substrate. The nanosheet semiconductor device includes a buffer layer formed between the substrate and the channel nanosheet. The buffer layer has a lower conductivity than the channel nanosheet.
    Type: Grant
    Filed: April 21, 2017
    Date of Patent: February 23, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Robin H. Chao, Choonghyun Lee, Chun W. Yeung, Jingyun Zhang
  • Patent number: 10930676
    Abstract: A monolithically integrated circuit comprising a semiconducting wafer, a metal oxide thin film semiconductor device disposed adjacent a first region of the semiconducting wafer, and a dissimilar semiconductor device disposed adjacent a second region of the semiconducting wafer and fabrication methods thereof.
    Type: Grant
    Filed: December 20, 2019
    Date of Patent: February 23, 2021
    Assignee: United States of America as represented by the Secretary of the Air Force
    Inventors: Michael L. Schuette, Gregg H. Jessen, Kevin D. Leedy, Robert C. Fitch, Jr., Andrew J. Green
  • Patent number: 10930738
    Abstract: A replacement fin in a heterogeneous FinFET transistor in which source and drain regions are grown in corresponding trenches that extend into a sub-fin region. This depth of the epitaxial source/drain regions, in combination with the selected materials, can reduce off-state leakage while also keeping high defect density portions out of the active portions of the source and drain. In one embodiment, materials are selected for the source and drain regions that have an energy band offset from the material selected for the substrate. This band offset between the source/drain material can further reduce sub-fin leakage.
    Type: Grant
    Filed: June 29, 2017
    Date of Patent: February 23, 2021
    Assignee: Intel Corporation
    Inventors: Dipanjan Basu, Seung Hoon Sung, Glenn A. Glass, Jack T. Kavalieros, Tahir Ghani
  • Patent number: 10930783
    Abstract: Semiconductor devices, FinFET devices with optimized strained-source-drain recess profiles and methods of forming the same are provided. One of the semiconductor devices includes a substrate, a gate stack over the substrate and a strained layer in a recess of the substrate and aside the gate stack. Besides, a ratio of a depth at the greatest width of the recess to a width of the gate stack ranges from about 0.5 to 0.7.
    Type: Grant
    Filed: November 7, 2018
    Date of Patent: February 23, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ying Ting Hsia, Kun Yu Lin, Ying Ming Wang, Li-Te Hsu
  • Patent number: 10930734
    Abstract: A technique relates to a semiconductor device. A rare earth material is formed on a substrate. An isolation layer is formed at an interface of the rare earth material and the substrate. Channel layers are formed over the isolation layer. Source or drain (S/D) regions are formed on the isolation layer.
    Type: Grant
    Filed: October 30, 2018
    Date of Patent: February 23, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Ruqiang Bao, Zhenxing Bi, Kangguo Cheng, Zheng Xu
  • Patent number: 10921937
    Abstract: A touch panel includes a substrate, a plurality of first electrodes, a plurality of first bridge portions, and a plurality of second electrodes on the substrate. A plurality of connecting pads is on a side of the second electrodes away from the substrate. The connecting pads are made of a non-transparent conductive material. An insulating layer is on the substrate and covers the first electrodes, the first bridge portions, and the second electrodes. The insulating layer defines a plurality of through holes. Each connecting pad is exposed from the insulating layer by one through hole. A plurality of second bridge portions is on a side of the insulating layer away from the substrate. Each of the second bridge portions extends into adjacent two through holes to electrically couple adjacent two second electrodes.
    Type: Grant
    Filed: November 19, 2018
    Date of Patent: February 16, 2021
    Assignees: Interface Technology (ChengDu) Co., Ltd., INTERFACE OPTOELECTRONICS (SHENZHEN) CO., LTD., GENERAL INTERFACE SOLUTION LIMITED
    Inventor: Chun-Ming Chen
  • Patent number: 10916660
    Abstract: A method of forming a substrate contact in a vertical transistor device includes patterning a sacrificial layer to form an opening in the sacrificial layer, the sacrificial layer disposed on hardmask arranged on a substrate, and the substrate including a bulk semiconductor layer, a buried oxide layer arranged on the bulk semiconductor layer, and a semiconductor layer arranged on the buried oxide layer; forming oxide spacers on sidewalls of the opening in the sacrificial layer; using the oxide spacers as a pattern to etch a trench through the substrate, the trench stopping at a region within the bulk semiconductor layer; and depositing a conductive material in the trench to form the substrate contact.
    Type: Grant
    Filed: July 13, 2018
    Date of Patent: February 9, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Veeraraghavan S. Basker, Kangguo Cheng, Theodorus E. Standaert, Junli Wang
  • Patent number: 10916477
    Abstract: A method of forming a semiconductor device includes forming a first fin and a second fin protruding above a substrate; forming isolation regions on opposing sides of the first fin and the second fin; forming a metal gate over the first fin and over the second fin, the metal gate being surrounded by a first dielectric layer; and forming a recess in the metal gate between the first fin and the second fin, where the recess extends from an upper surface of the metal gate distal the substrate into the metal gate, where the recess has an upper portion distal the substrate and a lower portion between the upper portion and the substrate, where the upper portion has a first width, and the lower portion has a second width larger than the first width, the first width and the second width measured along a longitudinal direction of the metal gate.
    Type: Grant
    Filed: January 15, 2019
    Date of Patent: February 9, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Chang Hung, Chieh-Ning Feng, Chun-Liang Lai, Yih-Ann Lin, Ryan Chia-Jen Chen
  • Patent number: 10916663
    Abstract: An oxide semiconductor film which has more stable electric conductivity is provided. The oxide semiconductor film comprises a crystalline region. The oxide semiconductor film has a first peak of electron diffraction intensity with a full width at half maximum of greater than or equal to 0.4 nm?1 and less than or equal to 0.7 nm?1 in a region where a magnitude of a scattering vector is greater than or equal to 3.3 nm?1 and less than or equal to 4.1 nm?1. The oxide semiconductor film has a second peak of electron diffraction intensity with a full width at half maximum of greater than or equal to 0.45 nm?1 and less than or equal to 1.4 nm?1 in a region where a magnitude of a scattering vector is greater than or equal to 5.5 nm?1 and less than or equal to 7.1 nm?1.
    Type: Grant
    Filed: June 28, 2018
    Date of Patent: February 9, 2021
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Masashi Tsubuku, Kengo Akimoto, Hiroki Ohara, Tatsuya Honda, Takatsugu Omata, Yusuke Nonaka, Masahiro Takahashi, Akiharu Miyanaga
  • Patent number: 10910483
    Abstract: A method and structure for forming a fin bottom diode includes providing a substrate having a plurality of fins extending therefrom. Each of the plurality of fins includes a substrate portion and an epitaxial layer portion over the substrate portion. A first dopant layer is formed on sidewalls of a first region of the substrate portion of each of the plurality of fins. After forming the first dopant layer, a first annealing process is performed to form a first diode region within the first region of the substrate portion. A second dopant layer is formed on sidewalls of a second region of the substrate portion of each of the plurality of fins. After forming the second dopant layer, a second annealing process is performed to form a second diode region within the second region of the substrate portion of each of the plurality of fins.
    Type: Grant
    Filed: April 29, 2019
    Date of Patent: February 2, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventor: You-Hua Chou
  • Patent number: 10910223
    Abstract: A method includes etching a semiconductor substrate to form a first trench and a second trench. A remaining portion of the semiconductor substrate is left between the first trench and the second trench as a semiconductor region. A doped dielectric layer is formed on sidewalls of the semiconductor region and over a top surface of the semiconductor region. The doped dielectric layer includes a dopant. The first trench and the second trench are filled with a dielectric material. An anneal is then performed, and a p-type dopant or an n-type dopant in the doped dielectric layer is diffused into the semiconductor region to form a diffused semiconductor region.
    Type: Grant
    Filed: November 1, 2016
    Date of Patent: February 2, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Teng Liao, Yi-Wei Chiu, Chih Hsuan Cheng, Li-Te Hsu
  • Patent number: 10910273
    Abstract: A method of forming a semiconductor structure includes forming a semiconductor layer stack including a substrate and a nanosheet channel stack including alternating layers of a sacrificial material and a semiconducting material providing nanosheet channels for nanosheet field-effect transistors. The method also includes forming vertical fins in the semiconductor layer stack, forming a liner on sidewalls of the vertical fins, and forming a sacrificial epitaxial layer over the substrate surrounding the vertical fins. The method further includes replacing the sacrificial epitaxial layer with a first dielectric layer, removing the liner to form air gaps between the first dielectric layer and sidewalls of the vertical fins, and forming a second dielectric layer in the air gaps between the first dielectric layer and sidewalls of the vertical fins. The first and second dielectric layers provide shallow trench isolation regions surrounding sidewalls of the vertical fins below the nanosheet channel stack.
    Type: Grant
    Filed: February 25, 2019
    Date of Patent: February 2, 2021
    Assignee: International Business Machines Corporation
    Inventors: Nicolas Loubet, Richard A. Conti, ChoongHyun Lee
  • Patent number: 10903207
    Abstract: Disclosed is an integrated circuit (IC) formation method, wherein trenches are formed within a semiconductor layer to define semiconductor mesa(s). Instead of immediately filling the trenches with an isolation material and performing a planarizing process to complete the STI regions prior to device formation, the method initially only form sidewall spacers within the trenches on the exposed sidewalls of the semiconductor mesa(s). After the sidewall spacers are formed, device(s) (e.g., field effect transistor(s), silicon resistor(s), etc.) are formed using the semiconductor mesa(s) and, optionally, additional device(s) (e.g., polysilicon resistor(s)) can be formed within the trenches between adjacent semiconductor mesas. Subsequently, middle of the line (MOL) dielectrics (e.g., a conformal etch stop layer and a blanket interlayer dielectric (ILD) layer) are deposited over the device(s), thereby filling any remaining space within the trenches and completing the STI regions.
    Type: Grant
    Filed: January 28, 2019
    Date of Patent: January 26, 2021
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Anthony K. Stamper, Steven M. Shank, Siva P. Adusumilli
  • Patent number: 10901543
    Abstract: Transparent conductors including a silver layer with high transparency and low sheet resistance are described. In some examples, the silver layer can be located between two transparent conductive oxide layers. The transparent conductor can further include additional transparent conductive oxide layers, optical layers, and/or additional conductive layers (e.g., layers including ITO or another fully or partially transparent conductive material), for example. In some examples, transparent conductors including a silver layer can be included in a touch screen device. For example, one or more shielding layers or one or more touch electrodes can include transparent conductors with a silver layer. In some examples, the silver layer can improve transparency, sheet resistance, and/or infrared reflection characteristics of the transparent conductor.
    Type: Grant
    Filed: September 27, 2018
    Date of Patent: January 26, 2021
    Assignee: Apple Inc.
    Inventors: Khadijeh Bayat, Isaac Wing-Tak Chan, Cheng Chen, Avery P. Yuen, Rasmi R. Das, Hienminh Huu Le
  • Patent number: 10903250
    Abstract: A display device includes: a first electrode layer; a semiconductor layer including a source region, a drain region, and a channel region, wherein at least a portion of the source region or the drain region overlaps the first electrode layer; a second electrode layer arranged adjacent to the channel region; a third electrode layer overlapping the second electrode layer and at least a portion of the source region or the drain region; and a power line electrically connected to the first electrode layer and the third electrode layer.
    Type: Grant
    Filed: March 21, 2019
    Date of Patent: January 26, 2021
    Assignee: Samsung Display Co., Ltd.
    Inventors: Youngin Hwang, Elly Gil, Sungho Kim, Eungtaek Kim, Yongho Yang, Seongmin Wang, Jina Lee, Joohyeon Jo, Seongbaik Chu
  • Patent number: 10903315
    Abstract: A technique relates to a semiconductor device. A bottom sacrificial layer is formed on a substrate. A stack is formed over the bottom sacrificial layer and a dummy gate is formed over the stack. The bottom sacrificial layer is removed from under the stack so as to leave an opening. An isolation layer is formed in the opening, the isolation layer being positioned between the stack and the substrate.
    Type: Grant
    Filed: September 28, 2018
    Date of Patent: January 26, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Nicolas Loubet, Robin Hsin Kuo Chao, Julien Frougier, Ruilong Xie
  • Patent number: 10896854
    Abstract: A method of forming a semiconductor structure includes forming a first pattern of alternating spacers of a first material and a second material on a semiconductor substrate, forming a second pattern of the alternating spacers of the first material and the second material by selectively removing at least a portion of at least one of one or more of the spacers of the first material and one or more of the spacers of the second material to form a remaining pattern of spacers of the first material and the second material on the semiconductor substrate, and transferring the second pattern of the spacers of the first material and the second material to the semiconductor substrate to form two or more fins in the semiconductor substrate by etching the semiconductor substrate selective to the first material and the second material.
    Type: Grant
    Filed: March 24, 2017
    Date of Patent: January 19, 2021
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Peng Xu