Formation Of Electrode (epo) Patents (Class 257/E21.011)
  • Publication number: 20100190314
    Abstract: Electroless plating can be utilized to form electrical interconnects associated with semiconductor substrates. For instance, a semiconductor substrate can be formed to have a dummy structure thereover with a surface suitable for electroless plating, and to also have a digit line thereover having about the same height as the dummy structure. A layer can be formed over the dummy structure and digit line, and openings can be formed through the layer to the upper surfaces of the dummy structure and digit line. Subsequently, a conductive material can be electroless plated within the openings to form electrical contacts within the openings. The opening extending to the dummy structure can pass through a capacitor electrode, and accordingly the conductive material formed within such opening can be utilized to form electrical contact to the capacitor electrode.
    Type: Application
    Filed: March 31, 2010
    Publication date: July 29, 2010
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Nishant Sinha, Dinesh Chopra, Fred D. Fishburn
  • Patent number: 7759192
    Abstract: A semiconductor device includes a capacitor having a bottom electrode, a dielectric layer formed on the bottom electrode, a top electrode formed on the dielectric layer, and a contact plug having a metal that is connected with the top electrode, wherein the top electrode includes a doped poly-Si1-xGex layer and a doped polysilicon layer epitaxially deposited on the doped poly-Si1-xGex layer and the contact plug makes a contact with the doped polysilicon layer.
    Type: Grant
    Filed: October 24, 2005
    Date of Patent: July 20, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-Young Ahn, Jin-Tae Noh, Hee-Seok Kim, Jin-Gyun Kim, Ju-Wan Lim, Sang-Ryol Yang, Hong-Suk Kim, Sung-Hae Lee
  • Publication number: 20100176486
    Abstract: A semiconductor device includes a memory cell region and a peripheral circuit region. The memory cell region includes a first region and a second region surrounding the first region. The first region includes a plurality of first electrodes, a plurality of first support portions, and a second support portion. The plurality of first electrodes upwardly extends. The plurality of first support portions upwardly extends along the plurality of first electrodes. Each of the plurality of first support portions mechanically supports corresponding one of the plurality of first electrodes. The second support portion contacts with the plurality of the first support portions. The second support portion connects between each of the plurality of first electrodes.
    Type: Application
    Filed: January 8, 2010
    Publication date: July 15, 2010
    Applicant: ELPIDA MEMORY, INC.
    Inventors: Takashi Miyajima, Shigeru Sugioka, Kazushi Komeda, Takashi Miyamura, Kohei Inoue
  • Publication number: 20100178747
    Abstract: Passive, high density, 3d IC capacitor stacks and methods that provide the integration of capacitors and integrated circuits in a wafer to wafer bonding process that provides for the integration of capacitors formed on one wafer, alone or with active devices, with one or more integrated circuits on one or more additional wafers that may be stacked in accordance with the process. Wafer to wafer bonding is preferably by thermo-compression, with grinding and chemical mechanical polishing being used to simply aspects of the process of fabrication. Various features and alternate embodiments are disclosed.
    Type: Application
    Filed: January 13, 2009
    Publication date: July 15, 2010
    Applicant: MAXIM INTEGRATED PRODUCTS, INC.
    Inventors: Joseph Paul Ellul, Khanh Tran, Albert Bergemont
  • Patent number: 7754577
    Abstract: A method for fabricating a capacitor includes: forming a storage node contact plug over a substrate; forming an insulation layer having an opening exposing a surface of the storage node contact plug over the storage contact plug; forming a conductive layer for a storage node over the insulation layer and the exposed surface of the storage node contact plug through two steps performed at different temperatures; performing an isolation process to isolate parts of the conductive layer; and sequentially forming a dielectric layer and a plate electrode over the isolated conductive layer.
    Type: Grant
    Filed: June 14, 2006
    Date of Patent: July 13, 2010
    Assignee: Hynix Semiconductor, Inc.
    Inventors: Jin-Hyock Kim, Seung-Jin Yeom, Ki-Seon Park, Han-Sang Song, Deok-Sin Kil, Jae-Sung Roh
  • Publication number: 20100164064
    Abstract: A capacitor and methods for manufacturing the capacitor are disclosed. The method may include forming a first electrode on a substrate, forming a dielectric layer on the first electrode, the dielectric layer having a first silicon oxide (SiO2) layer, a zirconium-doped hafnium oxide (Zr-doped HfO2) layer and a second silicon oxide layer sequentially, and forming a second electrode on the dielectric layer.
    Type: Application
    Filed: December 21, 2009
    Publication date: July 1, 2010
    Inventor: Hyun Dong KIM
  • Publication number: 20100164065
    Abstract: A capacitor of a semiconductor device and a method for manufacturing the same includes a lower metal layer on and/or over a semiconductor substrate; an insulating layer formed on and/or over the lower metal layer with step difference; and an upper electrode on and/or over the insulating layer pattern, wherein a top corner of the upper electrode is rounded so that a curvature pattern is formed on the top corner of the upper electrode.
    Type: Application
    Filed: December 29, 2009
    Publication date: July 1, 2010
    Inventor: Yong-Jun Lee
  • Patent number: 7745280
    Abstract: A metal-insulator-metal capacitor structure includes a lower electrode, a buffer layer, a barrier layer, a dielectric layer and an upper electrode. The lower electrode is disposed in the buffer layer. The barrier layer covers part of the lower electrode and is disposed between the lower electrode and the upper electrode. The buffer layer serves as an etching stop layer to define the dielectric layer. The dielectric layer in the metal-insulator-metal capacitor structure has a uniform and ideal thickness.
    Type: Grant
    Filed: May 29, 2008
    Date of Patent: June 29, 2010
    Assignee: United Microelectronics Corp.
    Inventor: Yu-Ho Chiang
  • Patent number: 7745300
    Abstract: Disclosed is a capacitor and method for forming a capacitor in a semiconductor. The method includes the steps of: (a) forming a lower electrode pattern on a silicon semiconductor substrate; (b) etching a portion of the lower electrode pattern to a predetermined depth to form a step in the lower electrode pattern; (c) forming a dielectric layer and a upper electrode layer on an entire surface of the substrate including the lower electrode pattern; and (e) patterning the upper electrode layer and the dielectric layer to form a upper electrode pattern and a dielectric pattern.
    Type: Grant
    Filed: June 23, 2006
    Date of Patent: June 29, 2010
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Sang Kwon Kim
  • Publication number: 20100159665
    Abstract: The present invention provides a semiconductor device, a method of manufacture therefor, and an integrated circuit including the semiconductor device. The semiconductor device, among other elements, includes a recrystallized polysilicon layer 148 located over a gate electrode layer 143, a capacitor 170 located on the recrystallized polysilicon layer 148. The capacitor 170, in this embodiment, includes a first electrode 173, an insulator 175 located over the first electrode 173, and a second electrode 178 located over the insulator 175.
    Type: Application
    Filed: June 4, 2009
    Publication date: June 24, 2010
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Jiong-Ping Lu, Haowen Bu, Clint Montgomery
  • Publication number: 20100155891
    Abstract: To provide a semiconductor device including: plural capacitors each including a cylindrical lower electrode having an internal wall and an external wall, and an upper electrode that covers the external wall of the lower electrode via a capacitance dielectric film; and a supporting film having a buried portion buried in an internal region surrounded by the internal wall of the lower electrode, and a supporting portion a part of which is positioned within the internal region and remaining parts of which are positioned at outside of the internal region. The supporting portion sandwiches an upper end of the lower electrode at both ends of the upper end by covering the internal wall and the external wall of the upper end of the lower electrode.
    Type: Application
    Filed: December 24, 2009
    Publication date: June 24, 2010
    Applicant: ELPIDA MEMORY, INC.
    Inventors: Satoru ISOGAI, Takahiro KUMAUCHI
  • Publication number: 20100148306
    Abstract: Disclosed are a capacitor and a method of manufacturing the same. The capacitor includes a plurality of polysilicon electrodes spaced apart from each other at a predetermined distance on a substrate, a dielectric layer between the polysilicon electrodes and having an air layer or void therein, a silicide on each polysilicon electrode, and a conductive contact electrically connected to the silicide.
    Type: Application
    Filed: December 8, 2009
    Publication date: June 17, 2010
    Inventor: Mun Sub HWANG
  • Publication number: 20100151654
    Abstract: The nitride film forming method comprises the first step of loading a semiconductor substrate 12 into a reaction furnace, and decompressing the inside of the reaction furnace 14 to remove oxygen and water from the inside of the reaction furnace 14 and the semiconductor substrate 12, the second step of heating the reaction furnace 14 to further remove the oxygen and the water from the reaction furnace 14 and the semiconductor substrate 12, and the third step of purifying nitrogen gas to have the oxygen concentration to be 1 ppb or below, and performing thermal processing with the purified nitrogen gas being fed into the reaction furnace to form a nitride film 56 over the semiconductor substrate 12. The thermal nitriding is performed using an ultrahigh-purity nitrogen gas of an oxygen concentration of 1 ppb or below, whereby nitrogen film of very good quality can be formed without setting the thermal processing temperature very high.
    Type: Application
    Filed: February 22, 2010
    Publication date: June 17, 2010
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventors: Toshiharu Yamauchi, Tunenori Yamauchi, Kumiko Toyota
  • Patent number: 7732296
    Abstract: In a method of fabricating a metal-insulator-metal (MIM) capacitor and a metal-insulator-metal (MIM) capacitor fabricated according to the method, the method comprises: forming an insulating-layer pattern on a semiconductor substrate, the insulating-layer pattern having a plurality of openings that respectively define areas where capacitor cells are to be formed; forming a lower electrode conductive layer on the insulating-layer pattern and on the semiconductor substrate; forming a first sacrificial layer that fills the openings on the lower electrode conductive layer; forming a second sacrificial layer on of the first sacrificial layer; planarizing the second sacrificial layer; exposing an upper surface of the lower electrode conductive layer; removing the exposed lower electrode conductive layer to form a plurality of lower electrodes that are separated from each other, each corresponding to a capacitor cell; and forming dielectric layers and upper electrodes, that are separated from each other, each corres
    Type: Grant
    Filed: January 25, 2006
    Date of Patent: June 8, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-min Park, Seok-jun Won, Min-woo Song, Yong-kuk Jeong, Dae-jin Kwon, Weon-hong Kim
  • Publication number: 20100133654
    Abstract: The present invention relates to a method of producing a semiconductor capacitor, and more particularly, to a method of producing a semiconductor capacitor, in which an electroless plating is performed during the production of a lower electrode to form a lower electrode.
    Type: Application
    Filed: June 23, 2008
    Publication date: June 3, 2010
    Inventors: Hee Han, Kyung-Jun Kim, Byung-Kyu Choi
  • Publication number: 20100129977
    Abstract: An integrated circuit and fabrication method are presented. The integrated circuit includes a capacitor containing a base electrode, a covering electrode, and a dielectric between the base and covering electrodes. The dielectric contains an oxide of a material contained in the base electrode, which may be produced by anodic oxidation. A peripheral edge of the dielectric is uncovered by the covering electrode. A base layer on the capacitor includes a cutout adjacent to the dielectric. During fabrication, the base layer protects the material of the base electrode that is to be anodically oxidized from chemicals, and also protects the surrounding regions from anodic oxidation. A precision resistor may be fabricated simultaneously with the capacitor.
    Type: Application
    Filed: January 29, 2010
    Publication date: May 27, 2010
    Inventors: Thomas Goebel, Johann Helneder, Heinrich Körner, Andrea Mitchell, Markus Schwerd, Martin Seck, Holger Torwesten
  • Publication number: 20100123216
    Abstract: Provided are a semiconductor integrated circuit including a power supply, a semiconductor system including the semiconductor integrated circuit, and a method of forming the semiconductor integrated circuit. The semiconductor integrated circuit includes: a semiconductor substrate on a surface of which a plurality of electrical circuits and a plurality of power pads are mounted; an insulation layer stacked on the semiconductor substrate; a first conductive layer connected to a first power pad by a first via and stacked on the insulation layer; a second conductive layer connected to a second power pad by a second via, stacked on the insulation layer, and separated from the first insulation layer; and a power generation layer stacked on the first conductive layer and the second conductive layer and that generates voltage.
    Type: Application
    Filed: January 19, 2010
    Publication date: May 20, 2010
    Inventors: Kyu-Hyoun Kim, Chang-Hyun Kim
  • Publication number: 20100124811
    Abstract: A method for fabricating a capacitor in a semiconductor device includes forming a sacrificial layer over a substrate, forming an opening by selectively etching the sacrificial layer, forming a conductive layer for a lower electrode over a whole surface of a resultant structure including the opening, forming the lower electrode by performing a first blanket dry etching process on the conductive layer until the sacrificial layer is exposed, etching the sacrificial layer to a predetermined depth to protrude a top of the lower electrode over the sacrificial layer, and performing a second blanket dry etching process on the lower electrode to remove a hornlike part on top of the lower electrode. Since a blanket dry etching is performed twice, it is possible to easily remove a hornlike part of a lower electrode and prevent a device failure induced by a micro-bridge between adjacent lower electrodes.
    Type: Application
    Filed: December 30, 2008
    Publication date: May 20, 2010
    Applicant: Hynix Semiconductor Inc.
    Inventors: Sang-Soo Park, Jung-Taik Cheong
  • Publication number: 20100120212
    Abstract: A method of forming a semiconductor memory device includes sequentially forming an etch stop layer and then a mold layer, forming a plurality of line-shaped support structures and a first sacrificial layer filling gaps between the support structures on the mold layer, sequentially forming a plurality of line-shaped first mask patterns, a second sacrificial layer, and then second mask patterns on the support structures and on the first sacrificial layer, removing the second sacrificial layer, the first sacrificial layer, and the mold layer using the first mask patterns, the second mask patterns, and the support structures as masks, removing the first mask patterns and second mask patterns, filling the storage node electrode holes with a conductive material and etching back the conductive material to expose the support structures, and removing the first sacrificial layer and the mold layer to form pillar-type storage node electrodes supported by the support structures.
    Type: Application
    Filed: November 6, 2009
    Publication date: May 13, 2010
    Inventors: Dong-kwan Yang, Seong-ho Kim, Won-mo Park, Gil-sub Kim
  • Publication number: 20100112777
    Abstract: A method of forming a semiconductor device includes forming a bottom electrode having a top surface and a side surface on a semiconductor substrate, performing a tilted ion implantation process to supply ions to the top surface of the bottom electrode and to a portion of the side surface of the bottom electrode, and forming a dielectric layer on the bottom electrode. The formation of the dielectric layer is delayed at the ion-supplied top surface of the bottom electrode and the ion-supplied portion of the side surface of the bottom electrode.
    Type: Application
    Filed: November 4, 2009
    Publication date: May 6, 2010
    Inventors: HanJin LIM, Seokwoo Nam, Junghee Chung, KyoungRyul Yoon, Jong-Bom Seo, Jun-Noh Lee, Sunghoon Bae
  • Publication number: 20100112776
    Abstract: A conductor forming apparatus includes a reaction container having housed therein a processing target on a surface of which a recess in which a conductor is to be provided is formed, and a process for providing the conductor in the recess being carried out inside the container after a supercritical fluid dissolved with a metal compound is supplied into the container, a supply device which supplies the fluid from an outside to the inside of the container, and a discharge device which discharges the fluid that is not submitted for the process from the inside to the outside of the container, wherein while an amount of the fluid in the container is adjusted by continuously supplying the fluid into the container by the supply device and continuously discharging the fluid that is not submitted for the process to the outside of the container by the discharge device.
    Type: Application
    Filed: January 11, 2010
    Publication date: May 6, 2010
    Applicant: SEMICONDUCTOR TECHNOLOGY ACADEMIC RESEARCH CENTER
    Inventors: Eiichi Kondoh, Michiru Hirose, Hitoshi Tanaka, Masayuki Satoh, Hisashi Yano, Masaki Yoshimaru
  • Publication number: 20100112773
    Abstract: A pixel structure comprising at least one transistor, a first storage capacitor, a first conductive layer, an interlayer dielectric layer, a second conductive layer, a passivation layer, and a third conductive layer is provided. The first storage capacitor is electrically connected to the transistor. The interlayer dielectric layer having at least one first opening covers the first conductive layer. The second conductive layer is formed on a part of the interlayer dielectric layer and is electrically connected to the first conductive layer through the first opening. The passivation layer having at least one second opening covers the transistor and the second conductive layer. The third conductive layer is formed on a part of the passivation layer and is electrically connected to the transistor through the second opening. The first storage capacitor is formed by the third conductive layer, the passivation layer, and the second conductive layer.
    Type: Application
    Filed: January 5, 2010
    Publication date: May 6, 2010
    Applicant: AU OPTRONICS CORP.
    Inventor: Yu-Hsin TING
  • Publication number: 20100110607
    Abstract: A fabrication method which forms vertical capacitors in a substrate. The method is preferably an all-dry process, comprising forming a through-substrate via hole in the substrate, depositing a first conductive material layer into the via hole using atomic layer deposition (ALD) such that it is electrically continuous across the length of the via hole, depositing an electrically insulating, continuous and substantially conformal isolation material layer over the first conductive layer using ALD, and depositing a second conductive material layer over the isolation material layer using ALD such that it is electrically continuous across the length of the via hole. The layers are arranged such that they form a vertical capacitor. The present method may be successfully practiced at temperatures of less than 200° C., thereby avoiding damage to circuitry residing on the substrate that might otherwise occur.
    Type: Application
    Filed: November 5, 2008
    Publication date: May 6, 2010
    Inventors: Jeffrey F. DeNatale, Philip A. Stupar, Alexandros P. Papavasiliou, Robert L. Borwick, III
  • Publication number: 20100096726
    Abstract: A method of making a metal capacitor includes the following steps. A dielectric layer having a metal interconnection and a capacitor electrode is provided. Then, a treatment is performed to increase the dielectric constant of the dielectric layer surrounding the capacitor electrode. The treatment can be UV radiation, a plasma treatment or an ion implantation. Accordingly, the metal capacitor will have a higher capacitance and RC delay between the metal interconnection and the dielectric layer can be prevented.
    Type: Application
    Filed: October 21, 2008
    Publication date: April 22, 2010
    Inventor: Chin-Sheng Yang
  • Publication number: 20100084739
    Abstract: A semiconductor device includes a MIM capacitor that includes an insulating film and a first electrode and a second electrode which are formed in the same layer in the insulating film and are facing to each other with the insulating film interposed therebetween. The first electrode and the second electrode respectively include a first high aspect via and a second high aspect via which extend as long as a length, in a stacked direction of the substrate, of a via and an interconnect provided on the via so as to be connected to the via formed in another region. A first potential and a second potential are respectively supplied to the first electrode and the second electrode.
    Type: Application
    Filed: December 1, 2009
    Publication date: April 8, 2010
    Applicant: NEC ELECTREONICS CORPORATION
    Inventor: Koujirou Matsui
  • Patent number: 7678659
    Abstract: A method for reducing leakage current in a semiconductor capacitor. The method includes providing a top plate for collecting charge, providing a bottom plate for collecting an opposing charge to the top plate, providing a dielectric layer for insulation between the top plate and the bottom plate, providing a top contact, providing a bottom contact, providing a plurality of vias including top level vias for connecting the top plate to the top contact, and bottom level vias for connecting the bottom plate to the bottom contact; and separating a via and an adjacent structure such that their distance is greater than a minimum via spacing requirement of a foundry design rule for a semiconductor process producing the semiconductor capacitor.
    Type: Grant
    Filed: June 2, 2006
    Date of Patent: March 16, 2010
    Assignee: MediaTek Inc.
    Inventors: Chao-Chun Tu, Ming-Chieh Lin
  • Patent number: 7674682
    Abstract: An on-chip decoupling capacitor (106) and method of fabrication. The decoupling capacitor (106) is integrated at the top metal interconnect level (104) and includes surface protection cladding (109) for the copper metal (104b) of the top metal interconnect.
    Type: Grant
    Filed: October 30, 2003
    Date of Patent: March 9, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Edmund Burke, Satyavolu S. Papa Rao, Timothy A. Rost
  • Publication number: 20100055861
    Abstract: A method for fabricating a capacitor in a semiconductor device includes forming an insulation layer over a substrate, forming a storage node contact plug passing through the insulation layer and coupled to the substrate, recessing the storage node contact plug to a certain depth to obtain a sloped profile, forming a barrier metal over the surface profile of the recessed storage node contact plug, forming a sacrificial layer over the substrate structure, etching the sacrificial layer to form an opening exposing the barrier metal, forming a bottom electrode over the surface profile of the opening, and removing the etched sacrificial layer.
    Type: Application
    Filed: May 4, 2009
    Publication date: March 4, 2010
    Inventor: Soung-Min Ku
  • Publication number: 20100055862
    Abstract: A method produces integrated circuit arrangement that includes an undulating capacitor in a conductive structure layer. The surface area of the capacitor is enlarged in comparison with an even capacitor. The capacitor is interlinked with dielectric regions at its top side and/or its underside, so that it can be produced by methods which may not have to be altered in comparison with conventional CMP methods.
    Type: Application
    Filed: November 9, 2009
    Publication date: March 4, 2010
    Inventor: Anton Steltenpohl
  • Publication number: 20100047988
    Abstract: In a method of forming a layer, a precursor including a metal and a ligand coordinating to the metal is stabilized by contacting the precursor with an electron donating compound to provide a stabilized precursor into a substrate. A reactant is introduced into the substrate to bind to the metal in the stabilized precursor. The precursor stabilized by the electron donating compound has an improved thermal stability and thus the precursor is not dissociated at a high temperature atmosphere, and the layer having a uniform thickness is formed on the substrate.
    Type: Application
    Filed: August 18, 2009
    Publication date: February 25, 2010
    Inventors: Youn-Joung Cho, Youn-Soo Kim, Kyu-Ho Cho, Jung-Ho Lee, Jae-Hyoung Choi, Seung-Min Ryu
  • Patent number: 7663170
    Abstract: A lower electrode film is formed above a semiconductor substrate first, and then a ferroelectric film is formed on the lower electrode film. After that, an upper electrode film is formed on the ferroelectric film. When forming the upper electrode, an IrOx film containing crystallized small crystals when formed is formed on the ferroelectric film first, and then an IrOx film containing columnar crystals is formed.
    Type: Grant
    Filed: December 29, 2004
    Date of Patent: February 16, 2010
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Wensheng Wang
  • Publication number: 20100025815
    Abstract: A semiconductor device including a metallic compound Hfx1Moy1Nz1 as an electrode. The work function of the electrode can be modulated by doping the metallic compound with dopants including nitrogen, silicon or germanium. The metallic compound of the present invention is applicable to PMOS, NMOS, CMOS transistors and capacitors.
    Type: Application
    Filed: July 31, 2008
    Publication date: February 4, 2010
    Inventors: Shian-Jyh Lin, Chih-Wei Huang, Chao-Sung Lai, Hsing-Kan Peng
  • Publication number: 20100029055
    Abstract: A method of manufacturing a dual contact trench capacitor is provided. The method includes forming a first plate provided within a trench and isolated from a wafer body by a first insulator layer formed in the trench. The method further includes forming a second plate provided within the trench and isolated from the wafer body and the first plate by a second insulator layer formed in the trench.
    Type: Application
    Filed: July 29, 2008
    Publication date: February 4, 2010
    Inventors: Timothy W. Kemerer, Jenifer E. Lary, James S. Nakos, Steven M. Shank
  • Patent number: 7655530
    Abstract: An exemplary embodiment providing one or more improvements includes a capacitor with a segmented end electrode and methods for segmenting an end electrode of a capacitor for reducing or eliminating instances of thermally induced damage of the capacitor.
    Type: Grant
    Filed: October 1, 2008
    Date of Patent: February 2, 2010
    Assignee: SB Electronics, Inc.
    Inventor: Terry Hosking
  • Publication number: 20100019302
    Abstract: In a semiconductor device and a method of manufacturing the same, a substrate is defined into active and non-active regions by a device isolation layer and a recessed portion is formed on the active region. A gate electrode includes a gate insulation layer on an inner sidewall and a bottom of the recessed portion, a lower electrode on the gate insulation layer and an inner spacer on the lower electrode in the recessed portion, and an upper electrode that is positioned on the inner spacer and connected to the lower electrode. Source and drain impurity regions are formed at surface portions of the active region of the substrate adjacent to the upper electrode. Accordingly, the source and drain impurity regions are electrically insulated by the inner spacer in the recessed portion of the substrate like a bridge, to thereby sufficiently prevent gate-induced drain leakage (GIDL) at the gate electrode.
    Type: Application
    Filed: July 23, 2009
    Publication date: January 28, 2010
    Inventors: Sung-Sam Lee, Joon-Seok Moon, Young-Ju Choi
  • Patent number: 7651907
    Abstract: A method for fabricating a semiconductor device, the method includes forming an etch stop layer and an insulation layer over a substrate having a first region and a second region, selectively removing the insulation layer and the etch stop layer in the first region to expose parts of the substrate, thereby forming at least two electrode regions on the exposed substrate and a resultant structure, forming a conductive layer over the resultant structure, removing the conductive layer in the second region, removing the insulation layer in the first region and the second region by using wet chemicals, and removing parts of the conductive layer, which formed between the at least two electrode regions in the first region, to form cylinder type electrodes in the first region.
    Type: Grant
    Filed: December 26, 2007
    Date of Patent: January 26, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jun-Hee Cho
  • Publication number: 20100015767
    Abstract: A cell region layout of a semiconductor device formed by adding active regions in the outermost portion of a cell region, and a method of forming a contact pad using the same are provided. The layout and the method include a first active region formed at the outermost portion of the cell region, and having the same shape as that of an inner active region located inwardly from the outermost portion of the cell region, and a third active region formed by adding at least two second active regions having shapes different from that of an inner active region. Further, an insulating layer fills a portion below a bit line passing the third active region. A lifting phenomenon occurring where an active region is not formed can be prevented by adding the active regions at the outermost portion of the cell region, and a bridge phenomenon occurring when bit lines or a bit line contact and a gate line electrically contact can be suppressed by filling a portion below a bit line with an insulating layer.
    Type: Application
    Filed: September 24, 2009
    Publication date: January 21, 2010
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Cheol-ho Baek
  • Patent number: 7648873
    Abstract: A method of forming a capacitor includes forming a first capacitor electrode over a semiconductor substrate. A capacitor dielectric region is formed onto the first capacitor electrode. The capacitor dielectric region has an exposed oxide containing surface. The exposed oxide containing surface of the capacitor dielectric region is treated with at least one of a borane or a silane. A second capacitor electrode is deposited over the treated oxide containing surface. The second capacitor electrode has an inner metal surface contacting against the treated oxide containing surface. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: December 12, 2007
    Date of Patent: January 19, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Matthew W. Miller, Cem Basceri
  • Publication number: 20100009512
    Abstract: A method of forming a plurality of capacitors includes forming a plurality of individual capacitor electrodes using two masking steps. An earlier of the two masking steps is used to form an array of first openings over a plurality of storage node contacts. A later of the two masking steps is used to form an array of second openings received partially over and partially offset from the array of first openings. Overlapping portions of the first and second openings are received over the storage node contacts. After both of the two masking steps, conductive material of the individual capacitor electrodes is deposited into the overlapping portions of each of the first and second openings. The individual capacitor electrodes are incorporated into a plurality of capacitors. Other aspects and implementations are contemplated.
    Type: Application
    Filed: July 9, 2008
    Publication date: January 14, 2010
    Inventor: Fred Fishburn
  • Publication number: 20100001370
    Abstract: An integrated circuit system that includes: providing a substrate including front-end-of-line circuitry; forming a first conductive level including a first conductive trace over the substrate; forming a second conductive level spaced apart from the first conductive level and including a second conductive trace; and connecting the first conductive level to a third conductive level with a viabar that passes through the second conductive level without contacting the second conductive trace.
    Type: Application
    Filed: July 7, 2008
    Publication date: January 7, 2010
    Applicant: CHARTERED SEMICONDUCTOR MANUFACTURING LTD.
    Inventors: Haifeng Sheng, Fan Zhang, Juan Boon Tan, Bei Chao Zhang, Dong Kyun Sohn
  • Patent number: 7642156
    Abstract: Embodiments relate to a three-dimensional flash memory cell and method of forming the same that may be improve the uniformity of flash memory cell by removing a width difference of a polysilicon pattern when forming a floating gate of flash memory device, to thereby improve the reliability of semiconductor device. The process may be simplified due to the self-alignment in the step of forming the polysilicon pattern, which may improve the yield.
    Type: Grant
    Filed: July 20, 2007
    Date of Patent: January 5, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Seong-Gyun Kim
  • Patent number: 7622375
    Abstract: Provided are a method of manufacturing an electrically conductive member having excellent properties and such electrically conductive member. A method of manufacturing an electrically conductive member having an electrically conductive film on a surface of a substrate, comprising the steps of: (i) forming a layer containing a colloid on a porous surface of a substrate having at least the porous surface by applying a colloidal solution and (ii) forming an electrically conductive layer by drying the layer containing the colloid.
    Type: Grant
    Filed: March 28, 2003
    Date of Patent: November 24, 2009
    Assignee: Canon Kabushiki Kaisha
    Inventors: Hiroki Kisu, Keiichi Murai, Naotoshi Miyamachi
  • Publication number: 20090273882
    Abstract: A capacitor includes a first electrode, a dielectric layer, and a second electrode. The capacitor also includes a buffer layer formed over at least one of an interface between the first electrode and the dielectric layer and an interface between the dielectric layer and the second electrode, wherein the buffer layer includes a compound of a metal element from electrode materials of one of the first and second electrodes and a metal element from materials included in the dielectric layer.
    Type: Application
    Filed: April 21, 2009
    Publication date: November 5, 2009
    Inventors: Kyung-Woong PARK, Kee-Jeung LEE, Deok-Sin KIL, Young-Dae KIM, Jin-Hyock KIM, Kwan-Woo DO, Jeong-Yeop LEE
  • Publication number: 20090275186
    Abstract: Forming a capacitor of a semiconductor device includes forming an interlayer dielectric having holes over a semiconductor substrate. A conductive layer is then formed on surfaces of the holes and on the upper surface of the interlayer dielectric. A silicon-containing conductive layer is formed by flowing a silicon source gas for the semiconductor substrate formed with the conductive layer, so that silicon atoms can penetrate into the conductive layer. The silicon-containing conductive layer prevents etchant from infiltrating the interlayer dielectric below the silicon-containing conductive layer.
    Type: Application
    Filed: November 6, 2008
    Publication date: November 5, 2009
    Inventors: Cheol Hwan PARK, Ho Jin CHO, Jae Soo KIM, Dong Kyun LEE
  • Publication number: 20090267185
    Abstract: A method of manufacturing a semiconductor device includes forming a wiring layer in a first insulating layer, forming a second insulating layer over the first insulating layer, forming a first conductive layer over the second insulating layer, forming a dielectric layer on the first conductive layer, forming a second conductive layer on the dielectric layer, selectively removing the second conductive layer to form an upper electrode on the dielectric layer, forming a first layer over the upper electrode and the dielectric layer, selectively removing the first layer, the dielectric layer, and the first conductive layer to form a lower electrode over which the dielectric layer and the first layer is entirely left, the upper electrode remaining partially over the lower electrode.
    Type: Application
    Filed: April 15, 2009
    Publication date: October 29, 2009
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventors: Tatsuro Osada, Kaoru Saigoh
  • Publication number: 20090267187
    Abstract: An energy storage device such as a metal-insulator-metal capacitor and a method for manufacturing the energy storage device. The metal-insulator-metal capacitor includes an insulating material positioned between a bottom electrode or bottom plate and a top electrode or top plate. The surface area of the bottom electrode is greater than the surface area of the insulating material and the surface area of the insulating material is greater than the surface area of the top electrode. The top electrode and the insulating layer have edges that are laterally within and spaced apart from edges of the bottom electrode. A protective layer covers the top electrode, the edges of the top electrode, and the portions of the insulating layer that are uncovered by the top electrode. The protective layer serves as an etch mask during the formation of the bottom electrode.
    Type: Application
    Filed: April 23, 2008
    Publication date: October 29, 2009
    Inventors: Sallie Hose, Derryl Allman, Peter A. Burke, Ponce Saopraseuth
  • Publication number: 20090269901
    Abstract: The invention relates to a jig for producing capacitor elements, which is formed of resin material and is used for accommodate a plurality of capacitor element substrates therein to thereby batch-process the substrates. The jig is characterized in that portions of the jig at which the jig is supported during the process are protected with metal material. According to the invention, a group of capacitors each having a semiconductor layer serving as one electrode can be simultaneously produced with narrow variety in capacitance and with good precision, repeatedly, by using the jig having a high durability.
    Type: Application
    Filed: November 24, 2006
    Publication date: October 29, 2009
    Applicant: Showa Denko K.K.
    Inventor: Kazumi Naito
  • Patent number: 7605048
    Abstract: High capacitance value capacitors are formed using bimetal foils of an aluminum layer attached to a copper layer. The copper side of a bimetallic copper/aluminum foil or a monometallic aluminum foil is temporarily protected using aluminum or other materials, to form a sandwich. The exposed aluminum is treated to increase the surface area of the aluminum by at least one order of magnitude, while not attacking any portion of the protected metal. When the sandwich is separated, the treated bimetal foil is formed into a capacitor, where the copper layer is one electrode of the capacitor and the treated aluminum layer is in intimate contact with a dielectric layer of the capacitor.
    Type: Grant
    Filed: April 6, 2007
    Date of Patent: October 20, 2009
    Assignees: Kemet Electronics Corporation, Motorola, Inc.
    Inventors: Gregory J. Dunn, Jovica Savic, Philip M. Lessner, Albert K. Harrington
  • Publication number: 20090256239
    Abstract: A capacitor is described which includes a substrate with a doped area of the substrate forming a first electrode of a first capacitor. A plurality of trenches is arranged in the doped area of the substrate, the plurality of trenches forming a second electrode of the capacitor. An electrically insulating layer is arranged between each of the plurality of the trenches and the doped area for electrically insulating the trenches from the doped area. At least one substrate contact structure electrically connects the doped area, wherein the doped area comprises first open areas and at least one second open area arranged between neighboring trenches of the plurality of trenches, wherein the at least one open area is arranged below the at least one substrate contact. A shortest first distance between neighboring trenches is separated by the first open areas and is shorter than a shortest second distance between neighboring trenches separated by the at least one second open area.
    Type: Application
    Filed: April 14, 2008
    Publication date: October 15, 2009
    Inventor: Stefan Pompl
  • Patent number: 7601604
    Abstract: A method of forming one or more capacitors on or in a substrate and a capacitor structure resulting therefrom is disclosed. The method includes forming a trench in the substrate, lining the trench with a first copper-barrier layer, and substantially filling the trench with a first copper layer. The first copper layer is substantially chemically isolated from the substrate by the first copper-barrier layer. A second copper-barrier layer is formed over the first copper layer and a first dielectric layer is formed over the second copper-barrier layer. The dielectric layer is substantially chemically isolated from the first copper layer by the second copper-barrier layer. A third copper-barrier layer is formed over the dielectric layer and a second copper layer is formed over the third copper-barrier layer. The second copper layer is formed in a non-damascene process.
    Type: Grant
    Filed: October 12, 2006
    Date of Patent: October 13, 2009
    Assignee: Atmel Corporation
    Inventors: Isaiah O. Oladeji, Alan Cuthbertson