Using Mask (epo) Patents (Class 257/E21.231)
  • Publication number: 20130177274
    Abstract: An interposer includes grooves (310) for waveguides 104 (e.g. optical fiber cables) coupled to a transducer (120). The grooves are formed by etching a cavity (410) in a substrate (130), filling the cavity with some layer (520), then etching the layer to form the grooves. The grooves can be formed in a separate structure which is then inserted into a cavity in an interposer having electrical circuitry for the transducer. The cavity has outwardly or inwardly sloped sidewalls which can serve as minors (144) or on which the minors are later formed. The substrate can be monocrystalline silicon, in which the inwardly sloped (retrograde) sidewalls are formed by a combination of different etches at least one of which is selective to certain crystal planes. Other features, including non-optical embodiments, are also provided.
    Type: Application
    Filed: April 24, 2012
    Publication date: July 11, 2013
    Applicant: Invensas Corporation
    Inventors: Valentin Kosenko, Edward Lee McBain, Cyprian Emeka Uzoh, Pezhman Monadgemi, Sergey Savastiouk
  • Publication number: 20130161841
    Abstract: An alignment mark includes a plurality of mark units. Each mark unit includes a first element and a plurality of second elements. Each second element includes opposite first and second end portions. The plurality of second elements are arranged along a direction. The first element extends adjacent to the first end portions of the plurality of second elements and parallel to the direction of the plurality of second elements.
    Type: Application
    Filed: December 21, 2011
    Publication date: June 27, 2013
    Applicant: Nan Ya Technology Corporation
    Inventors: Chen Ku CHIANG, Yuan Hsun Wu
  • Publication number: 20130153988
    Abstract: An electronic device can include a transistor structure including a semiconductor layer overlying a substrate and a trench extending into the semiconductor layer having a tapered shape. In an embodiment, the tapered shape includes a facet. The transistor structure can include a source region and a drain region wherein different portions of the drain regions are disposed adjacent to the primary surface and within the trench. In another embodiment, different facets may be spaced apart from each other. Processes of forming the tapered etch can be tailored based on the needs or desires of a fabricator.
    Type: Application
    Filed: December 15, 2011
    Publication date: June 20, 2013
    Inventors: Gary H. Loechelt, Gordon M. Grivna
  • Patent number: 8466069
    Abstract: According to one embodiment, a method is disclosed for manufacturing a semiconductor device. The method can form a plurality of grooves extending in a first direction on a semiconductor substrate. The method can form an insulating layer on the inner face of the groove and on the top face of the semiconductor substrate. The method can deposit a first conductive layer on the insulating layer so as to fill in the groove. The method can deposit a second conductive layer on the first conductive layer. The method can form a hard mask in a region including part of a region immediately above the groove on the second conductive layer. The method can form a columnar body including the hard mask and the second conductive layer by etching the second conductive layer using the hard mask as a mask.
    Type: Grant
    Filed: September 14, 2011
    Date of Patent: June 18, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Toshiyuki Sasaki
  • Patent number: 8466064
    Abstract: A system, method, and layout for a semiconductor integrated circuit device allows for improved scaling down of various back-end structures, which can include contacts and other metal interconnection structures. The resulting structures can include a semiconductor substrate, a buried diffusion region formed on the semiconductor substrate, and at least one of a silicide film, for example tungsten silicide (WSix), and a self-aligned silicide (salicide) film, for example cobalt silicide (CoSi) and/or nickel silicide (NiSi), above the buried diffusion (BD) layer. The semiconductor integrated circuit can also include a memory gate structure formed over at least a portion of the contact layer.
    Type: Grant
    Filed: November 12, 2010
    Date of Patent: June 18, 2013
    Assignee: Macronix International Co., Ltd.
    Inventors: Yu-Fong Huang, Tzung-Ting Han, Wen-Pin Lu
  • Patent number: 8461050
    Abstract: A method of taper-etching a layer to be etched that is made of SiO2 or SiON and has a top surface. The method includes the step of forming an etching mask with an opening on the top surface of the layer to be etched, and the step of taper-etching a portion of the layer to be etched, the portion being exposed from the opening, by reactive ion etching so that a groove having two wall faces that intersect at a predetermined angle is formed in the layer to be etched. The etching mask is formed of a material containing elemental Al. The step of taper-etching employs an etching gas that contains a main component gas, which contributes to the etching of the layer to be etched, and N2.
    Type: Grant
    Filed: June 10, 2011
    Date of Patent: June 11, 2013
    Assignee: Headway Technologies, Inc.
    Inventors: Hironori Araki, Yoshitaka Sasaki, Hiroyuki Ito, Kazuki Sato, Shigeki Tanemura, Yukinori Ikegawa
  • Patent number: 8450122
    Abstract: Test structures and methods for semiconductor devices, lithography systems, and lithography processes are disclosed. In one embodiment, a method of manufacturing a semiconductor device includes using a lithography system to expose a layer of photosensitive material of a workpiece to energy through a lithography mask, the lithography mask including a plurality of first test patterns having a first phase shift and at least one plurality of second test patterns having at least one second phase shift. The layer of photosensitive material of the workpiece is developed, and features formed on the layer of photosensitive material from the plurality of first test patterns and the at least one plurality of second test patterns are measured to determine a optimal focus level or optimal dose of the lithography system for exposing the layer of photosensitive material of the workpiece.
    Type: Grant
    Filed: June 2, 2010
    Date of Patent: May 28, 2013
    Assignee: Infineon Technologies AG
    Inventor: Sajan Marokkey
  • Patent number: 8450213
    Abstract: Processes for making a membrane having a curved feature are disclosed. Recesses each in the shape of a reversed, truncated pyramid are formed in a planar substrate surface by KOH etching through a mask. An oxide layer is formed over the substrate surface. The oxide layer can be stripped leaving rounded corners between different facets of the recesses in the substrate surface, and the substrate surface can be used as a profile-transferring substrate surface for making a membrane having concave curved features. Alternatively, a handle layer is attached to the oxide layer and the substrate is removed until the backside of the oxide layer becomes exposed. The exposed backside of the oxide layer includes curved portions protruding away from the handle layer, and can provide a profile-transferring substrate surface for making a membrane having convex curved features.
    Type: Grant
    Filed: April 13, 2011
    Date of Patent: May 28, 2013
    Assignee: FUJIFILM Corporation
    Inventors: Gregory De Brabander, Mark Nepomnishy
  • Publication number: 20130130503
    Abstract: Disclosed herein is a method for fabricating an ultra-fine nanowire by combining a trimming process and a mask blocking oxidation process. The ultra-thin nanowire is fabricated by a combination of performing a trimming process on a mask to reduce a width of the mask and blocking an oxidation through the mask. A diameter of the floated ultra-thin nanowire fabricated by the method is controlled to 20 nm below by a thickness of a deposited silicon oxide film, a width of the silicon oxide nanowire after trimming, and a time and a temperature for performing a wet oxidation process. Also, since a speed of the wet oxidation process is faster, the width of the nanowire obtained by a conventional photolithography is reduced faster. Moreover, when fabricating an ultra-thin nanowire by using the method, the cost is reduced and it is more feasible to be implemented.
    Type: Application
    Filed: February 3, 2012
    Publication date: May 23, 2013
    Inventors: Ru Huang, Shuai Sun, Yujie Ai, Jiewen Fan, Runsheng Wang, Xiaoyan Xu
  • Patent number: 8441085
    Abstract: An electronic apparatus having a substrate with a bottom gate p-channel type thin film transistor; a resist pattern over the substrate; and a light shielding film operative to block light having a wavelength shorter than 260 nm over at least a channel part of said thin film transistor.
    Type: Grant
    Filed: August 3, 2010
    Date of Patent: May 14, 2013
    Assignee: Japan Display West Inc.
    Inventors: Koichi Nagasawa, Takashi Yamaguchi, Nobutaka Ozaki, Yasuhiro Kanaya, Hirohisa Takeda, Yasuo Mikami, Yoshifumi Mutoh
  • Patent number: 8440536
    Abstract: A method for forming a vertical channel transistor in a semiconductor memory device includes: forming a plurality of pillars over a substrate so that the plurality of pillars are arranged in a first direction and a second direction crossing the first direction, and so that each of the pillars has a hard mask pattern thereon; forming an insulation layer to fill a regions between the pillars; forming a mask pattern over a resultant structure including the insulation layer, wherein the mask pattern has openings exposing gaps between each two adjacent pillars in the first direction; etching the insulation layer to a predetermined depth using the mask pattern as an etching barrier to form trenches; and filling the trenches with a conductive material to form word lines extending in the first direction.
    Type: Grant
    Filed: June 30, 2011
    Date of Patent: May 14, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jin-Ki Jung
  • Patent number: 8426287
    Abstract: A method of manufacturing a semiconductor device includes the steps of forming a gate electrode of a transistor on an insulator layer on a surface of a semiconductor substrate, forming an isolation region by performing ion implantation of an impurity of a first conductivity type into the semiconductor substrate, forming a lightly doped drain region by performing, after forming a mask pattern including an opening portion narrower than a width of the gate electrode on an upper layer of the gate electrode of the transistor, ion implantation of an impurity of a second conductivity type near the surface of the semiconductor substrate with the mask pattern as a mask, and forming a source region and a drain region of the transistor by performing ion implantation of an impurity of the second conductivity type into the semiconductor substrate after forming the gate electrode of the transistor.
    Type: Grant
    Filed: November 12, 2010
    Date of Patent: April 23, 2013
    Assignee: Sony Corporation
    Inventor: Masashi Yanagita
  • Patent number: 8415805
    Abstract: Etched wafers and methods of forming the same are disclosed. In one embodiment, a method of etching a wafer is provided. The method includes forming a metal hard mask on the wafer using electroless plating, patterning the metal hard mask, and etching a plurality of features on the wafer using an etcher. The plurality of featured are defined by the metal hard mask.
    Type: Grant
    Filed: December 17, 2010
    Date of Patent: April 9, 2013
    Assignee: Skyworks Solutions, Inc.
    Inventor: Hong Shen
  • Publication number: 20130084707
    Abstract: A method of patterning a substrate is described. The method includes establishing a reference etch process condition for a plasma processing system. The method further includes transferring a mask pattern formed in a mask layer to one or more layers on a substrate using at least one plasma etching process in the plasma processing system to form a feature pattern in the one or more layers and, following the transferring, performing a multi-step dry cleaning process to substantially recover the reference etch condition. Furthermore, the multi-step dry cleaning process includes performing a first dry cleaning process step using plasma formed from a first dry clean process composition containing an oxygen-containing gas, and performing a second dry cleaning process step using plasma formed from a second dry clean process composition containing a halogen-containing gas.
    Type: Application
    Filed: September 30, 2011
    Publication date: April 4, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Mitsuru HASHIMOTO, Akiteru KO, Aline WULLUR
  • Patent number: 8409982
    Abstract: A method includes forming a first substrate by (a) applying an electrodepositable dielectric coating onto a conductive surface; (b) curing the dielectric coating; (c) depositing an adhesion layer and a seed layer onto the dielectric coating; (d) applying a layer of a first removable material to the seed layer; (e) forming openings in the first removable material to expose areas of the seed layer; (f) electroplating a first conductive material to the exposed areas of the seed layer; (g) applying a layer of a second removable material; (h) forming openings in the second removable material to expose areas of the first conductive material; (i) plating a second conductive material to the exposed areas of the first conductive material; (j) removing the first and second removable materials; (k) removing unplated portions of the seed layer; repeating steps (a) through (k) to form a second substrate; and laminating the first and second substrates together with a layer of dielectric material between the first and secon
    Type: Grant
    Filed: July 14, 2011
    Date of Patent: April 2, 2013
    Assignee: PPG Industries Ohio, Inc.
    Inventors: Kevin C. Olson, Alan E. Wang
  • Patent number: 8409994
    Abstract: Disclosed are methods and devices for targeting CD of selected transistors in a semiconductor device. Varying CD is done by forming hard mask lines in a hard mask layer that have varying amounts of spacer material associated therewith. Hard mask lines corresponding to selected transistors are either left covered or uncovered by a resist applied over the hard mask layer. Then, spacer material is selectively removed from the hard mask lines to vary the width of hard mask lines and associated side wall spacers. A gate layer is then etched through the spaces in the hard mask lines to form gate lines having varying widths and targeted CD.
    Type: Grant
    Filed: October 21, 2011
    Date of Patent: April 2, 2013
    Assignee: Spansion LLC
    Inventors: Bradley M. Davis, Jihwan Choi, Angela T. Hui
  • Patent number: 8405185
    Abstract: Integrated circuit devices include a semiconductor substrate having a plurality of trench isolation regions therein that define respective semiconductor active regions therebetween. A trench is provided in the semiconductor substrate. The trench has first and second opposing sidewalls that define opposing interfaces with a first trench isolation region and a first active region, respectively. A first electrical interconnect is provided at a bottom of the trench. An electrically insulating capping pattern is provided, which extends between the first electrical interconnect and a top of the trench. An interconnect insulating layer is also provided, which lines the first and second sidewalls and bottom of the trench. The interconnect insulating layer extends between the first electrical interconnect and the first active region. A recess is provided in the first active region. The recess has a sidewall that defines an interface with the interconnect insulating layer.
    Type: Grant
    Filed: November 12, 2010
    Date of Patent: March 26, 2013
    Assignee: Samsung Electronics, Co., Ltd.
    Inventors: Bong-Soo Kim, Kwang-Youl Chun, Sang-Bin Ahn
  • Publication number: 20130065343
    Abstract: A micromachining process forms a plurality of layers on a wafer. This plurality of layers includes both a support layer and a given layer. The process also forms a mask, with a mask hole, at least in part on the support layer. In this configuration, the support layer is positioned between the mask hole and the given layer, and longitudinally spaces the mask hole from the given layer. The process also etches a feature into the given layer through the mask hole.
    Type: Application
    Filed: September 14, 2011
    Publication date: March 14, 2013
    Applicant: ANALOG DEVICES, INC.
    Inventors: Kuang L. Yang, Thomas D. Chen
  • Patent number: 8395213
    Abstract: An SOI wafer contains a compressively stressed buried insulator structure. In one example, the stressed buried insulator (BOX) may be formed on a host wafer by forming silicon oxide, silicon nitride and silicon oxide layers so that the silicon nitride layer is compressively stressed. Wafer bonding provides the surface silicon layer over the stressed insulator layer. Preferred implementations of the invention form MOS transistors by etching isolation trenches into a preferred SOI substrate having a stressed BOX structure to define transistor active areas on the surface of the SOI substrate. Most preferably the trenches are formed deep enough to penetrate through the stressed BOX structure and some distance into the underlying silicon portion of the substrate. The overlying silicon active regions will have tensile stress induced due to elastic edge relaxation.
    Type: Grant
    Filed: August 27, 2010
    Date of Patent: March 12, 2013
    Assignee: Acorn Technologies, Inc.
    Inventors: Paul A. Clifton, R. Stockton Gaines
  • Publication number: 20130059443
    Abstract: A method of making a support structure is provided. The method includes depositing a photoresist layer on a substrate of the support structure and patterning the photoresist layer. The method further includes etching the patterned photoresist layer. Etching the patterned photoresist includes forming a first group of through silicon vias (TSVs) configured to electrically connect a first surface of the substrate to a first electrical interface adjacent an opposite second surface of the substrate. Etching the patterned photoresist further includes forming a second group of TSVs configured to conduct thermal energy from the first surface of the substrate to a thermal interface adjacent the second surface of the substrate. A difference in cross-sectional area between TSVs in the first group of TSVs and TSVs in the second group of TSVs is less than 10%, and the first electrical interface is separated from the thermal interface.
    Type: Application
    Filed: October 31, 2012
    Publication date: March 7, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Taiwan Semiconductor Manufacturing Company
  • Publication number: 20130052827
    Abstract: A method of suppressing the etch rate for exposed silicon-and-oxygen-containing material on patterned heterogeneous structures is described and includes a two stage remote plasma etch. Examples of materials whose selectivity is increased using this technique include silicon nitride and silicon. The first stage of the remote plasma etch reacts plasma effluents with the patterned heterogeneous structures to form protective solid by-product on the silicon-and-oxygen-containing material. The plasma effluents of the first stage are formed from a remote plasma of a combination of precursors, including a nitrogen-containing precursor and a hydrogen-containing precursor. The second stage of the remote plasma etch also reacts plasma effluents with the patterned heterogeneous structures to selectively remove material which lacks the protective solid by-product. The plasma effluents of the second stage are formed from a remote plasma of a fluorine-containing precursor.
    Type: Application
    Filed: April 18, 2012
    Publication date: February 28, 2013
    Applicant: Applied Materials, Inc.
    Inventors: Yunyu Wang, Anchuan Wang, Jingchun Zhang, Nitin K. Ingle, Young S. Lee
  • Patent number: 8383522
    Abstract: There is provided a micro pattern forming method including forming a thin film on a substrate; forming a film serving as a mask when processing the thin film; processing the film serving as a mask into a pattern including lines having a preset pitch; trimming the pattern including the lines; and forming an oxide film on the pattern including the lines and on the thin film by alternately supplying a source gas and an activated oxygen species. Here, the process of trimming the pattern and the process of forming an oxide film are consecutively performed in a film forming apparatus configured to form the oxide film.
    Type: Grant
    Filed: June 7, 2011
    Date of Patent: February 26, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Nakajima, Kazuhide Hasebe, Pao-Hwa Chou, Mitsuaki Iwashita, Reiji Niino
  • Publication number: 20130045601
    Abstract: A composition for forming a silicon-containing resist underlayer film that contains: a component (A) including at least one or more compounds selected from the group consisting of a polymer having repeating units shown by the following general formulae (1-1a) and (1-1b) and being capable of generating a phenolic hydroxyl group, a hydrolysate of the polymer, and a hydrolysis-condensate of the polymer, and a component (B) which is a silicon-containing compound obtained by hydrolysis-condensation of a mixture containing, at least, one or more hydrolysable silicon compounds represented by the following general formula (2) and one or more hydrolysable silicon compounds represented by the following general formula (3).
    Type: Application
    Filed: August 9, 2012
    Publication date: February 21, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Tsutomu OGIHARA, Takafumi UEDA, Toshiharu YANO, Yoshinori TANEDA
  • Publication number: 20130040412
    Abstract: A method of preparing a silicon nanowire and a method of fabricating a lithium secondary battery including the silicon nanowire are provided. The method of preparing a silicon nanowire may include forming a catalyst layer including metal particles separated from one another on a silicon layer, selectively etching the silicon layer contacting the metal particles, and removing the metal particles.
    Type: Application
    Filed: October 12, 2012
    Publication date: February 14, 2013
    Applicant: UNIST Academy-Industry Research Corporation
    Inventor: UNIST Academy-Industry Research Corporation
  • Patent number: 8354323
    Abstract: A graphene substrate is doped with one or more functional groups to form an electronic device.
    Type: Grant
    Filed: May 7, 2010
    Date of Patent: January 15, 2013
    Assignee: Searete LLC
    Inventors: Jeffrey A. Bowers, Roderick A. Hyde, Muriel Y. Ishikawa, Jordin T. Kare, Clarence T. Tegreene, Tatsushi Toyokuni, Richard N. Zare
  • Publication number: 20130012023
    Abstract: According to example embodiments, a method of forming micropatterns includes forming dummy patterns having first widths on a dummy region of a substrate, and forming cell patterns having second widths on an active line region of the substrate. The active line region may be adjacent to the dummy region and the second widths may be less than the first widths. The method may further include forming damascene metallization by forming a seed layer on the active line region and the dummy region, forming a conductive material layer on a whole surface of the substrate, and planarizing the conductive material layer to form metal lines.
    Type: Application
    Filed: June 26, 2012
    Publication date: January 10, 2013
    Inventors: In-sun Park, Gil-heyun Choi, Ji-soon Park, Jong-myeong Lee, Jong-won Hong, Hei-seung Kim
  • Patent number: 8349741
    Abstract: Embodiments described herein relate to materials and processes for patterning and etching features in a semiconductor substrate. In one embodiment, a method of forming a composite amorphous carbon layer is provided. The method comprises positioning a substrate in a process chamber, introducing a hydrocarbon source gas into the process chamber, introducing a diluent source gas into the process chamber, introducing a plasma-initiating gas into the process chamber, generating a plasma in the process chamber, forming an amorphous carbon initiation layer on the substrate, wherein the hydrocarbon source gas has a volumetric flow rate to diluent source gas flow rate ratio of 1:12 or less, and forming a bulk amorphous carbon layer on the amorphous carbon initiation layer, wherein a hydrocarbon source gas used to form the bulk amorphous carbon layer has a volumetric flow rate to a diluent source gas flow rate of 1:6 or greater.
    Type: Grant
    Filed: April 25, 2012
    Date of Patent: January 8, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Hang Yu, Deenesh Padhi, Man-Ping Cai, Naomi Yoshida, Li Yan Miao, Siu F. Cheng, Shahid Shaikh, Sohyun Park, Heung Lak Park, Bok Hoen Kim
  • Publication number: 20130001754
    Abstract: A method for etching features in a silicon layer is provided. A hard mask layer is formed over the silicon layer. A photoresist layer is formed over the hard mask layer. The hard mask layer is opened. The photoresist layer is stripped by providing a stripping gas; forming a plasma with the stripping gas by providing a high frequency RF power and a low frequency RF power, wherein the low frequency RF power has a power less than 50 watts; and stopping the stripping gas when the photoresist layer is stripped. The opening the hard mask layer and the stripping the photoresist layer are performed in a same chamber.
    Type: Application
    Filed: September 7, 2012
    Publication date: January 3, 2013
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Sangjun Cho, Tom Choi, Taejoon Han, Sean Kang, Prabhakara Gopaladasu, Bi-Ming Yen
  • Publication number: 20120329282
    Abstract: Various lithography methods are disclosed. An exemplary lithography method includes forming a first patterned silicon-containing organic polymer layer over a substrate by removing a first patterned resist layer, wherein the first patterned silicon-containing organic polymer layer includes a first opening having a first dimension and a second opening having the first dimension, the first opening and the second opening exposing the substrate; forming a second patterned silicon-containing organic polymer layer over the substrate by removing a second patterned resist layer, wherein a portion of the patterned second silicon-containing organic polymer layer combines with a portion of the first patterned silicon-containing organic polymer layer to reduce the first dimension of the second opening to a second dimension; and etching the substrate exposed by the first opening having the first dimension and the second opening having the second dimension.
    Type: Application
    Filed: August 30, 2012
    Publication date: December 27, 2012
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Ching-Yu Chang
  • Publication number: 20120313251
    Abstract: Methods and structure are provided for creating and utilizing hard masks to facilitate creation of a grating effect to control an anisotropic etching process for the creation of an opening, and subsequent formation of a interconnect structure (e.g., a via) in a multilayered semiconductor device. A first hard mask can be patterned to control etching in a first dimension, and a second hard mask can be patterned to control etching in a second dimension, wherein the second hard mask is patterned orthogonally opposed to the first hard mask. A resist can be patterned by inverting the pattern of a metal line patterning. Interconnects can be formed with critical dimension(s) and also self-aligned.
    Type: Application
    Filed: June 10, 2011
    Publication date: December 13, 2012
    Applicant: TOSHIBA AMERICA ELECTRONIC COMPONENTS, INC.
    Inventor: Hirokazu Kato
  • Publication number: 20120315716
    Abstract: A method of taper-etching a layer to be etched that is made of SiO2 or SiON and has a top surface. The method includes the step of forming an etching mask with an opening on the top surface of the layer to be etched, and the step of taper-etching a portion of the layer to be etched, the portion being exposed from the opening, by reactive ion etching so that a groove having two wall faces that intersect at a predetermined angle is formed in the layer to be etched. The etching mask is formed of a material containing elemental Al. The step of taper-etching employs an etching gas that contains a main component gas, which contributes to the etching of the layer to be etched, and N2.
    Type: Application
    Filed: June 10, 2011
    Publication date: December 13, 2012
    Applicant: HEADWAY TECHNOLOGIES, INC.
    Inventors: Hironori ARAKI, Yoshitaka SASAKI, Hiroyuki ITO, Kazuki SATO, Shigeki TANEMURA, Yukinori IKEGAWA
  • Patent number: 8324111
    Abstract: Disclosed are a liquid crystal display device employing an amorphous zinc oxide-based semiconductor as an active layer, and a method for fabricating the same, whereby device stability can be secured by employing an etch stopper structure and device characteristics can be enhanced by minimizing exposure and deterioration of the active layer excluding content regions by virtue of the design of the etching stopper in a shape like “H”. Also, the liquid crystal display device and the fabrication method thereof can further form a semiconductor pattern and an insulating layer pattern on the intersection between the gate line and the data line, so as to compensate a stepped portion, thereby preventing an occurrence of short-circuit.
    Type: Grant
    Filed: August 3, 2010
    Date of Patent: December 4, 2012
    Assignee: LG Display Co., Ltd.
    Inventors: Jong-Uk Bae, Hyun-Sik Seo, Im-Kuk Kang
  • Publication number: 20120295074
    Abstract: An array of nanowires and method thereof. The array of nanowires includes a plurality of nanowires. The plurality of nanowires includes a plurality of first ends and a plurality of second ends respectively. For each of the plurality of nanowires, a corresponding first end selected from the plurality of first ends and a corresponding second end selected from the plurality of second ends are separated by a distance of at least 200 ?m. All nanowires of the plurality of nanowires are substantially parallel to each other.
    Type: Application
    Filed: November 17, 2011
    Publication date: November 22, 2012
    Applicant: Alphabet Energy, Inc.
    Inventors: Mingqiang Yi, Matthew L. Scullin, Gabriel Alejandro Matus, Dawn L. Hilken, Chii Guang Lee, Sylvain Muckenhirn
  • Publication number: 20120295441
    Abstract: A method for forming a hard mask in semiconductor device fabrication comprises: forming first and second patterned material layers on a third material layer, the second patterned material layer only covering the top of predetermined regions of the first patterned material layer; changing a property of exposed top and side portions of the first patterned material layer using the second patterned material layer as a mask, forming property-changed roofs at the exposed top portions of the first patterned material layer and forming property-changed sidewalls with a predetermined width at the exposed side portions of the first patterned material layer; removing the second patterned material layer and portions of the first patterned material layer with exposed tops and an unchanged property located between the property-changed sidewalls, to form the hard mask.
    Type: Application
    Filed: December 7, 2011
    Publication date: November 22, 2012
    Applicant: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING) CORPORATION
    Inventor: ZHONGSHAN HONG
  • Patent number: 8309373
    Abstract: A method of manufacturing a semiconductor device includes: forming a first pad and a second pad over a substrate; forming a first insulating film over the second pad without forming the first insulating film over the first pad; forming a metal film over the first pad and the second pad; forming an electrode over the first pad with the metal film interposed therebetween; selectively removing the metal film over the second pad; and removing the first insulating film over the second pad.
    Type: Grant
    Filed: December 4, 2009
    Date of Patent: November 13, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Takahisa Abiru
  • Publication number: 20120273923
    Abstract: A method of manufacturing semiconductor device and a wafer are provided in accordance with embodiments of the present invention, which relates to semiconductor technology. The method includes: providing a substrate, and forming a gate oxide layer and a polysilicon layer on a first surface of the substrate; etching the polysilicon layer by use of a patterned mask so as to form a polysilicon gate with reentrants; depositing a tensile stress film on a second surface of the substrate before etching the polysilicon layer. The tensile stress film can be deposited on the second surface of the substrate for generating the tensile stress for the wafer. Thus, a polysilicon gate with reentrants can be formed in etching process. In this way, semiconductor devices can have smaller gate-source/drain overlap capacitance and better TDDB parameters, and the performance of the devices can be improved.
    Type: Application
    Filed: September 23, 2011
    Publication date: November 1, 2012
    Applicant: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
    Inventors: Xiaoying Meng, Junqing Zhou, Haiyang Zhang
  • Patent number: 8298879
    Abstract: The present invention generally relates to thin film transistors (TFTs) and methods of making TFTs. The active channel of the TFT may comprise one or more metals selected from the group consisting of zinc, gallium, tin, indium, and cadmium. The active channel may also comprise nitrogen and oxygen. To protect the active channel during source-drain electrode patterning, an etch stop layer may be deposited over the active layer. The etch stop layer prevents the active channel from being exposed to the plasma used to define the source and drain electrodes. The etch stop layer and the source and drain electrodes may be used as a mask when wet etching the active material layer that is used for the active channel.
    Type: Grant
    Filed: July 14, 2011
    Date of Patent: October 30, 2012
    Assignee: Applied Materials, Inc.
    Inventor: Yan Ye
  • Publication number: 20120270397
    Abstract: A method is provided for fabricating source/drain electrodes of a thin film transistor. The method generally provides a substrate having a first gate electrode and a second gate electrode adjacent and electrically connected. The method further provides coating a photoresist layer on the metal layer, and performing an exposure process on the photoresist layer by a photomask. The method further performs a development process on the exposed photoresist layer to form a photoresist pattern layer with different thicknesses on the metal layer, and then etches the metal layer using the photoresist pattern layer as an etch mask, to form a pair of first source/drain electrodes on the first gate electrode and a pair of second source/drain electrodes on the second gate electrode.
    Type: Application
    Filed: March 8, 2012
    Publication date: October 25, 2012
    Applicant: AU OPTRONICS CORP.
    Inventors: Zong-Long Jhang, Chia-Ming Chang, Hsiang-Chih Hsiao, Chun-Yi Chiang, Che-Yung Lai, Chou-Huan Yu, Ta-Wen Liao
  • Publication number: 20120262029
    Abstract: Processes for making a membrane having a curved feature are disclosed. Recesses each in the shape of a reversed, truncated pyramid are formed in a planar substrate surface by KOH etching through a mask. An oxide layer is formed over the substrate surface. The oxide layer can be stripped leaving rounded corners between different facets of the recesses in the substrate surface, and the substrate surface can be used as a profile-transferring substrate surface for making a membrane having concave curved features. Alternatively, a handle layer is attached to the oxide layer and the substrate is removed until the backside of the oxide layer becomes exposed. The exposed backside of the oxide layer includes curved portions protruding away from the handle layer, and can provide a profile-transferring substrate surface for making a membrane having convex curved features.
    Type: Application
    Filed: April 13, 2011
    Publication date: October 18, 2012
    Inventors: Gregory De Brabander, Mark Nepomnishy
  • Publication number: 20120258599
    Abstract: Methods are disclosed, including for increasing the density of isolated features in an integrated circuit. Also disclosed are associated structures. In some embodiments, contacts are formed on pitch with other structures, such as conductive interconnects that may be formed by pitch multiplication. To form the contacts, in some embodiments, a pattern corresponding to some of the contacts is formed in a selectively definable material such as photoresist. Features in the selectively definable material are trimmed, and spacer material is blanket deposited over the features and the deposited material is then etched to leave spacers on sides of the features. The selectively definable material is removed, leaving a mask defined by the spacer material. The pattern defined by the spacer material may be transferred to a substrate, to form on pitch contacts. In some embodiments, the on pitch contacts may be used to electrically contact conductive interconnects in the substrate.
    Type: Application
    Filed: June 19, 2012
    Publication date: October 11, 2012
    Applicant: Micron Technology, Inc.
    Inventors: Gurtej Sandhu, Mark Kiehlbauch, Steve Kramer, John Smythe
  • Publication number: 20120244716
    Abstract: There is provided a substrate processing method capable of etching a layer containing, at least, platinum without using a halogen gas. When etching the platinum-manganese layer on a wafer W by using a tantalum (Ta) layer 38 having a certain pattern shape, a processing gas containing, at least, a carbon monoxide gas, a hydrogen gas, and a rare gas is used, and a ratio of a gas flow rate of the hydrogen gas to a total gas flow rate of the carbon monoxide gas and the hydrogen gas is in a range of from about 50% to about 75%.
    Type: Application
    Filed: March 21, 2012
    Publication date: September 27, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Takashi Sone, Eiichi Nishimura
  • Patent number: 8268732
    Abstract: Some embodiments include methods of forming patterns utilizing copolymer. A copolymer composition is formed across a substrate. The composition includes subunits A and B, and will be self-assembled to form core structures spaced center-to-center by a distance of L0. The core structures are contained within a repeating pattern of polygonal unit cells. Distances from the core structures to various locations of the unit cells are calculated to determine desired distributions of subunit lengths.
    Type: Grant
    Filed: November 19, 2009
    Date of Patent: September 18, 2012
    Assignee: Micron Technology, Inc.
    Inventor: Scott Sills
  • Publication number: 20120228747
    Abstract: To provide a resist pattern improving material, containing: water; and benzalkonium chloride represented by the following general formula (1): where n is an integer of 8 to 18.
    Type: Application
    Filed: January 26, 2012
    Publication date: September 13, 2012
    Applicant: FUJITSU LIMITED
    Inventors: Koji NOZAKI, Miwa Kozawa
  • Publication number: 20120231565
    Abstract: Provided is a process for producing a substrate for a liquid ejection head, including forming a liquid supply port in a silicon substrate, the process including the steps of (a) forming an etch stop layer at a portion of a front surface of the silicon substrate at which portion the liquid supply port is to be formed; (b) performing dry etching using a Bosch process from a rear surface side of the silicon substrate up to the etch stop layer with use of an etching mask formed on a rear surface of the silicon substrate to thereby form the liquid supply port; and (c) simultaneously removing the etch stop layer and a deposition film formed inside the liquid supply port.
    Type: Application
    Filed: March 5, 2012
    Publication date: September 13, 2012
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: Toshiyasu Sakai
  • Publication number: 20120214308
    Abstract: An aspect of the present embodiment, there is provided a method of fabricating a semiconductor device including providing a film to be processed above a semiconductor substrate, providing a negative-type resist and a photo-curable resist in order, pressing a main surface of a template onto the photo-curable resist, the main surface of the template having a concavo-convex pattern with a light shield portion provided on at least a part of a convex portion, irradiating the template with light from a back surface of the template, developing the negative-type resist and the photo-curable resist so as to print the concavo-convex pattern of the template on the negative-type resist and the photo-curable resist, and etching the film to be processed by using the concavo-convex pattern printed on the negative-type resist and the photo-curable resist as a mask.
    Type: Application
    Filed: September 12, 2011
    Publication date: August 23, 2012
    Inventors: Satoshi INADA, Mitsuhiro OMURA, Hisataka HAYASHI
  • Publication number: 20120205750
    Abstract: According to one embodiment, a method of manufacturing a semiconductor device, the method includes forming first and second cores on a processed material, forming a covering material having a stacked layer includes first and second layers, the covering material covering an upper surface and a side surface of the first and second cores, removing the second layer covering the first core, forming a first sidewall mask having the first layer on the side surface of the first core and a second sidewall mask having the first and second layers on the side surface of the second core by etching the covering material, removing the first and second cores, and forming first and second patterns having different width in parallel by etching the processed material in condition of using the first and second sidewall masks.
    Type: Application
    Filed: September 15, 2011
    Publication date: August 16, 2012
    Inventor: Gaku SUDO
  • Patent number: 8241923
    Abstract: A method for correcting a mask pattern used for dry-etching an object with higher accuracy, and for manufacturing an acceleration sensor and an angular velocity sensor. The object is first etched by a dry-etching process using an uncorrected reference mask pattern. Then, distribution of the size of expansion of a tapered portion formed in a surface of the object is measured. Thereafter, the measured distribution is approximated by using a quadratic curve (Y=AX2+B) so as to determine A and B. Consequently, an amount t of correction for the tapered portion, which is expressed by the following equation (1) and related to a width of an opening of the mask pattern in a position at a distance r from a center of the object to be etched, can be set. In this way, the correction for the tapered portion can be carried out.
    Type: Grant
    Filed: October 30, 2008
    Date of Patent: August 14, 2012
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventor: Akio Morii
  • Patent number: 8232152
    Abstract: A removing method of a hard mask includes the following steps. A substrate is provided. At least two MOSFETs are formed on the substrate. An isolating structure is formed in the substrate and located between the at least two MOSFETs. Each of the MOSEFTs includes a gate insulating layer, a gate, a spacer and a hard mask on the gate. A protecting structure is formed on the isolating structure and the hard mask is exposed from the protecting structure. The exposed hard mask is removed to expose the gate.
    Type: Grant
    Filed: September 16, 2010
    Date of Patent: July 31, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Che-Hua Hsu, Shao-Hua Hsu, Zhi-Cheng Lee, Cheng-Guo Chen, Shin-Chi Chen, Hung-Ling Shih, Hung-Yi Wu, Heng-Ching Huang
  • Publication number: 20120187471
    Abstract: A method of manufacturing a semiconductor device comprises forming memory cells on a memory cell region, alternately forming a sacrificial layer and an insulating interlayer on a connection region for providing wirings configured to electrically connect the memory cells, forming an etching mask pattern including etching mask pattern elements on a top sacrificial layer, forming blocking sidewalls on either sidewalls of each of the etching mask pattern element, forming a first photoresist pattern selectively exposing a first blocking sidewall furthermost from the memory cell region and covering the other blocking sidewalls, etching the exposed top sacrificial layer and an insulating interlayer to expose a second sacrificial layer, forming a second photoresist pattern by laterally removing the first photoresist pattern to the extent that a second blocking sidewall is exposed, and etching the exposed top and second sacrificial layers and the insulating interlayers to form a staircase shaped side edge portion.
    Type: Application
    Filed: December 8, 2011
    Publication date: July 26, 2012
    Inventors: Han-Geun YU, Gyung-Jin MIN, Seong-Soo LEE, Suk-Ho JOO, Yoo-Chul KONG, Dae-Hyun JANG
  • Patent number: 8227352
    Abstract: Embodiments described herein relate to materials and processes for patterning and etching features in a semiconductor substrate. In one embodiment, a method of forming a composite amorphous carbon layer for improved stack defectivity on a substrate is provided. The method comprises positioning a substrate in a process chamber, introducing a hydrocarbon source gas into the process chamber, introducing a diluent source gas into the process chamber, introducing a plasma-initiating gas into the process chamber, generating a plasma in the process chamber, forming an amorphous carbon initiation layer on the substrate, wherein the hydrocarbon source gas has a volumetric flow rate to diluent source gas flow rate ratio of 1:12 or less; and forming a bulk amorphous carbon layer on the amorphous carbon initiation layer, wherein a hydrocarbon source gas used to form the bulk amorphous carbon layer has a volumetric flow rate to a diluent source gas flow rate of 1:6 or greater to form the composite amorphous carbon layer.
    Type: Grant
    Filed: April 25, 2011
    Date of Patent: July 24, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Hang Yu, Deenesh Padhi, Man-Ping Cai, Naomi Yoshida, Li Yan Miao, Siu F. Cheng, Shahid Shaikh, Sohyun Park, Heung Lak Park, Bok Hoen Kim