Involving Dielectric Removal Step (epo) Patents (Class 257/E21.244)
  • Patent number: 9997348
    Abstract: A method of forming a semiconductor wafer includes generating a stress topography model of a semiconductor wafer with a plurality of desired structures in a desired layout. The method also includes determining a topography and calculating a compensation pattern based upon the topography, wherein the compensation pattern balances wafer topography. The method also includes patterning a semiconductor front side with the plurality of desired microstructures in the desired layout. The method also includes patterning the semiconductor back side with a compensation block mask corresponding to the compensation pattern.
    Type: Grant
    Filed: September 28, 2016
    Date of Patent: June 12, 2018
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Timothy A. Brunner, Oleg Gluschenkov, Donghun Kang, Byeong Y. Kim
  • Patent number: 9997420
    Abstract: One or more methods or systems for performing chemical mechanical planarization (CMP) are provided. The system includes at least one of an emitter, a detector, a spectroscopic signal generator, a comparator, a spectral library, a controller or a CMP device. A spectroscopic signal is generated and is used to determine the thickness of a first material formed on or from a wafer by comparing the spectroscopic signal to a spectral library. Responsive to the thickness not being equal to the desired thickness, the controller instructs the CMP device to perform a rotation to reduce the thickness of the first material. The system and method herein increase the sensitivity of the CMP, such that the thickness of the first material is reduced with greater accuracy and precision, as compared to where the thickness is not measured between consecutive rotations of a wafer.
    Type: Grant
    Filed: December 27, 2013
    Date of Patent: June 12, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Yen-Di Tsen, Cheng Yen-Wei, Jong-I Mou
  • Patent number: 9983257
    Abstract: The present disclosure relates to a substrate having test line letters that are used to identify a test line on an integrated chip, while avoiding contamination of high-k metal gate processes, and a method of formation. In some embodiments, an integrated chip is disclosed. The integrated chip has a semiconductor substrate. A test line letter is arranged over the semiconductor substrate. The test line letter comprises a positive relief that protrudes outward from the semiconductor substrate in the shape of an alpha-numeric character. One or more dummy structures are arranged over the semiconductor substrate. The one or more dummy structures are proximate to a boundary of the test line letter.
    Type: Grant
    Filed: October 15, 2015
    Date of Patent: May 29, 2018
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Wei Cheng Wu, Jui-Tsung Lien, Fang-Lan Chu, Hong-Da Lin, Ku-Ning Chang, Yu-Chen Wang
  • Patent number: 9947546
    Abstract: A semiconductor integrated circuit device and a method of manufacturing the same are disclosed. A semiconductor wafer having a surface step is prepared. A first material layer is formed on an upper surface of the semiconductor wafer so that a protrusion is formed in a portion thereof corresponding to an edge region of the semiconductor wafer. A second material layer is formed on the first material layer.
    Type: Grant
    Filed: April 26, 2016
    Date of Patent: April 17, 2018
    Assignee: SK hynix Inc
    Inventors: Jae Hee Sim, Min Seok Son, Keun Kyu Kong, Jeong Hoon An
  • Patent number: 9934995
    Abstract: This process includes steps: a) providing a carrier substrate including a receiving face; b) depositing a nonstick coating on the receiving face, the nonstick coating including a central region and a peripheral region; and c) trimming the carrier substrate so as to remove the peripheral region of the nonstick coating and to form a recess on the periphery of the carrier substrate, in order to obtain the handle wafer. Also relates to a process for temporarily bonding a substrate to a handle wafer fabricated using the process described above. Furthermore relates to a handle wafer fabricated using the process described above.
    Type: Grant
    Filed: December 15, 2014
    Date of Patent: April 3, 2018
    Assignee: COMMISSARIAT À L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventors: Pierre Montmeat, Laurent Bally, Frank Fournel, Michel Pellat
  • Patent number: 9919402
    Abstract: A practical method of polishing a wafer that can reduce wafer loss due to dummy polishing, and stabilize the LPD count in production wafers at a low level, is provided. In the method of polishing a wafer according to the present disclosure, a wafer 104 is brought into contact with a polishing cloth 112 provided on the surface of a polishing plate 110, and the wafer 104 and the polishing plate 110 are rotated, thereby performing several rounds of a polishing process on the surface of the wafer 104 using the same polishing cloth 112. At this time, the contact angle of the polishing cloth is measured, and based on the measured value thereof, the timing for a switchover from an initial polishing (or a dummy polishing) mode to a production polishing mode is determined.
    Type: Grant
    Filed: June 24, 2014
    Date of Patent: March 20, 2018
    Assignee: SUMCO CORPORATION
    Inventor: Tomonori Kawasaki
  • Patent number: 9917057
    Abstract: In one aspect, a method of forming a wiring layer on a wafer is provided which includes: depositing a HSQ layer onto the wafer; cross-linking a first portion(s) of the HSQ layer using e-beam lithography; depositing a hardmask material onto the HSQ layer; patterning the hardmask using optical lithography, wherein the patterned hardmask covers a second portion(s) of the HSQ layer; patterning the HSQ layer using the patterned hardmask in a manner such that i) the first portion(s) of the HSQ layer remain and ii) the second portion(s) of the HSQ layer covered by the patterned hardmask remain, wherein by way of the patterning step trenches are formed in the HSQ layer; and filling the trenches with a conductive material to form the wiring layer on the wafer.
    Type: Grant
    Filed: November 28, 2016
    Date of Patent: March 13, 2018
    Assignee: International Business Machines Corporation
    Inventors: Josephine B. Chang, Szu-Lin Cheng, Isaac Lauer, Jeffrey W. Sleight
  • Patent number: 9908718
    Abstract: Device and process for picking up a formable and/or collapsible part (30) that employs the forces of a vacuum (44) and generally distributes the vacuum force uniformly over a large portion of the surface of the part (30). The device preferably provides support for the part (30) at regular intervals, for example using a distributor plate (14) having many small openings (26). The device preferably employs a porous layer (12) such as an open cell foam between the distributor plate (14) and the part (30). The porous layer (12) may perform for one or any combination of the following: further distribute the vacuum forces, cushion the part (30) against the distributor plate (14), or further distribute the support for the part (30).
    Type: Grant
    Filed: March 6, 2013
    Date of Patent: March 6, 2018
    Assignee: DOW GLOBAL TECHNOLOGIES LLC
    Inventors: Mark J. Majestic, James R. Ogle
  • Patent number: 9905457
    Abstract: A method for forming an interconnect structure includes forming a patterned layer over a substrate, the patterned layer having an opening therein. A dielectric material is filled in the opening. The dielectric material has a precursor and a solvent, the solvent having a boiling point temperature greater than a precursor cross-linking temperature. A thermal treatment is performed on the dielectric material to form a dielectric layer.
    Type: Grant
    Filed: December 26, 2014
    Date of Patent: February 27, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Bo-Jiun Lin, Ching-Yu Chang, Hai-Ching Chen, Tien-I Bao
  • Patent number: 9852980
    Abstract: Methods of forming an interconnect structure include depositing a first conductive material on a substrate. Aspects include subtractively etching the conductive material to form a patterned first conductive layer, and depositing a dielectric layer on interconnect structure. Aspects also include depositing a second conductive material on the dielectric layer and removing the second conductive material through the top of the second metal liner.
    Type: Grant
    Filed: January 13, 2017
    Date of Patent: December 26, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Griselda Bonilla, Samuel S. Choi, Ronald G. Filippi, Elbert E. Huang, Naftali E. Lustig, Andrew H. Simon
  • Patent number: 9773682
    Abstract: A method of planarizing a substrate surface is disclosed. A substrate having a major surface of a material layer is provided. The major surface of the material layer comprises a first region with relatively low removal rate and a second region of relatively high removal rate. A photoresist pattern is formed on the material layer. The photoresist pattern masks the second region, while exposes at least a portion of the first region. At least a portion of the material layer not covered by the photoresist pattern is etched away. A polish stop layer is deposited on the material layer. A cap layer is deposited on the polish stop layer. A chemical mechanical polishing (CMP) process is performed to polish the cap layer.
    Type: Grant
    Filed: July 5, 2016
    Date of Patent: September 26, 2017
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Li-Chieh Hsu, Fu-Shou Tsai, Yu-Ting Li, Yi-Liang Liu, Kun-Ju Li
  • Patent number: 9728543
    Abstract: A method of fabricating a semiconductor structure includes the following steps. A first dummy gate structure and a second dummy gate structure are formed on a semiconductor substrate. A recess is formed next to the first and the second dummy gate structure and in the semiconductor substrate. A pair of first spacers is formed adjacent to the first dummy gate structure. A pair of second spacers is formed adjacent to the second dummy gate structure. One of the first spacers extends from a first sidewall of the first dummy gate structure to a first inner sidewall of the recess. One of the second spacers extends from a second sidewall of the second dummy gate structure to a second inner sidewall of the recess. A first isolation layer is formed on a bottom surface of the recess. A first conducting layer is formed on the first isolation layer.
    Type: Grant
    Filed: August 15, 2016
    Date of Patent: August 8, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chia-Ming Pan, Chiang-Ming Chuang, Kun-Tsang Chuang, Po-Wei Liu, Yong-Shiuan Tsair
  • Patent number: 9728415
    Abstract: A semiconductor device has a substrate including a plurality of conductive vias formed vertically and partially through the substrate. An encapsulant is deposited over a first surface of the substrate and around a peripheral region of the substrate. A portion of the encapsulant around the peripheral region is removed by a cutting or laser operation to form a notch extending laterally through the encapsulant to a second surface of the substrate opposite the first surface of the substrate. A first portion of the substrate outside the notch is removed by chemical mechanical polishing to expose the conductive vias. A second portion of the substrate is removed by backgrinding prior to or after forming the notch. The encapsulant is coplanar with the substrate after revealing the conductive vias. The absence of an encapsulant/base material interface and coplanarity of the molded substrate results in less over-etching or under-etching and fewer defects.
    Type: Grant
    Filed: December 19, 2013
    Date of Patent: August 8, 2017
    Assignee: STATS ChipPAC Pte. Ltd.
    Inventors: Vinoth Kanna Chockanathan, Xing Zhao, Duk Ju Na, Chang Bum Yong
  • Patent number: 9679782
    Abstract: A planarization method includes at least two steps. One of the steps is to implant at least one impurity into a wafer to form a polish stop layer in the wafer. The other one of the steps is to polish a top surface of the wafer until reaching the polish stop layer.
    Type: Grant
    Filed: January 7, 2016
    Date of Patent: June 13, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yu-Ting Yen, Ying-Ho Chen
  • Patent number: 9652574
    Abstract: Techniques are disclosed for optimizing the pattern density in the circuit layout design of a circuit layer. A layer in circuit design is analyzed to define empty regions that can be filled with fill polygons (referred to hereafter as “fill” regions). Next, a pattern of fill polygons is generated. After the fill polygons have been defined, the layout design for the layer is divided into separate areas or “windows,” and a target density for each window is determined. Once this target density for the window has been determined, the fill polygons required to most closely approach this target density are generated and added to the circuit layout design. This process may be repeated with progressively different (e.g., smaller) fill polygons, until each window meets or exceeds both the specified minimum density and complies with the specified maximum density gradient.
    Type: Grant
    Filed: April 25, 2011
    Date of Patent: May 16, 2017
    Assignee: Mentor Graphics Corporation
    Inventors: Eugene Anikin, Fedor G. Pikus, Laurence W. Grodd, David A. Abercrombie, John W. Stedman
  • Patent number: 9653343
    Abstract: A method for fabricating semiconductor device is disclosed. First, a substrate having a first region and a second region is provided, a shallow trench isolation (STI) is formed in the substrate to separate the first region and the second region, and a patterned hard mask is formed on the first region and part of the STI, in which the patterned hard mask exposes includes an opening to expose part of the STI. Next, a gas is driven-in through the exposed STI to alter an edge of the substrate on the first region.
    Type: Grant
    Filed: June 2, 2016
    Date of Patent: May 16, 2017
    Assignee: UNITED MOCIROELECTRONICS CORP.
    Inventors: Kai-Kuen Chang, Shih-Yin Hsiao, Chang-Po Hsiung
  • Patent number: 9607946
    Abstract: The present disclosure relates to a method of forming a back-end-of-the-line metallization layer. The method is performed by forming a plurality of freestanding metal layer structures (i.e., metal layer structures not surrounded by a dielectric material) on a semiconductor substrate within an area defined by a patterned photoresist layer. A diffusion barrier layer is deposited onto the metal layer structure in a manner such that the diffusion barrier layer conforms to the top and sides of the metal layer structure. A dielectric material is formed on the surface of the substrate to fill areas between metal layer structures. The substrate is planarized to remove excess metal and dielectric material and to expose the top of the metal layer structure.
    Type: Grant
    Filed: August 15, 2013
    Date of Patent: March 28, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: You-Hua Chou, Min Hao Hong, Jian-Shin Tsai, Miao-Cheng Liao, Hsiang Hsiang Ko
  • Patent number: 9589786
    Abstract: A method for polishing a polymer surface is provided by an embodiment of the present invention. The method includes: curing the polymer surface; polishing the polymer surface cured through a CMP process. By using the method for polishing a polymer surface provided by embodiments of the present invention, the mentioned problems in the prior art are solved. The uniformity of the polymer surface can be improved to <1% through a CMP process, which can meet the requirements of high density and small linewidth integration.
    Type: Grant
    Filed: April 17, 2015
    Date of Patent: March 7, 2017
    Assignee: National Center for Advanced Packaging Co., Ltd
    Inventors: Ting Li, Haiyang Gu
  • Patent number: 9558960
    Abstract: A substrate processing method includes a coating step that applies a coating liquid to a substrate having a front surface on which a pattern is formed, thereby forming a coating film on the substrate, a film removing step that heats the substrate to gasify components of the coating film thereby to reduce a thickness of the film, and a film curing step that is performed after or simultaneously with the film removing step and that heats the substrate to cure the coating film through crosslinking reaction. The film removing step is performed under conditions ensuring that an average thickness of the cured coating film is not greater than 80% of an average thickness of the coating film before being subjected to the film removing step.
    Type: Grant
    Filed: December 24, 2015
    Date of Patent: January 31, 2017
    Assignee: Tokyo Electron Limited
    Inventors: Takahiro Shiozawa, Kenichi Ueda
  • Patent number: 9558959
    Abstract: Stable aqueous polishing compositions that can selectively polish silicon nitride (SiN) films and nearly stop (or polish at very low rates) on silicon oxide films are provided herein. The compositions comprise an anionic abrasive, a nitride removal rate enhancer containing a carboxyl or carboxylate group, water, and optionally, an anionic polymer. The synergistic combination of anionic (negatively charged) abrasives and the nitride removal rate enhancer provide beneficial charge interactions with the dielectric films during CMP, a high SiN rate and selectivity enhancement (over oxide), and stable colloidal dispersed slurries.
    Type: Grant
    Filed: September 17, 2015
    Date of Patent: January 31, 2017
    Assignee: FUJIFILM PLANAR SOLUTIONS, LLC
    Inventors: Abhudaya Mishra, Luling Wang
  • Patent number: 9466565
    Abstract: A transistor comprises a substrate, a pair of spacers on the substrate, a gate dielectric layer on the substrate and between the pair of spacers, a gate electrode layer on the gate dielectric layer and between the pair of spacers, an insulating cap layer on the gate electrode layer and between the pair of spacers, and a pair of diffusion regions adjacent to the pair of spacers. The insulating cap layer forms an etch stop structure that is self aligned to the gate and prevents the contact etch from exposing the gate electrode, thereby preventing a short between the gate and contact. The insulator-cap layer enables self-aligned contacts, allowing initial patterning of wider contacts that are more robust to patterning limitations.
    Type: Grant
    Filed: June 4, 2015
    Date of Patent: October 11, 2016
    Assignee: Intel Corporation
    Inventors: Mark T Bohr, Tahir Ghani, Nadia M. Rahhai-Orabi, Subhash M. Joshi, Joseph M. Steigerwald, Jason W. Klaus, Jack Hwang, Ryan Mackiewicz
  • Patent number: 9391200
    Abstract: Techniques and structures for controlling etch-back of a finFET fin are described. One or more layers may be deposited over the fin and etched. Etch-back of a planarization layer may be used to determine a self-limited etch height of one or more layers adjacent the fin and a self-limited etch height of the fin. Strain-inducing material may be formed at regions of the etched fin to induce strain in the channel of a finFET.
    Type: Grant
    Filed: June 18, 2014
    Date of Patent: July 12, 2016
    Assignees: STMicroelectronics, Inc., International Business Machines Corporation, GLOBALFOUNDRIES Inc.
    Inventors: Qing Liu, Xiuyu Cai, Ruilong Xie, Chun-chen Yeh
  • Patent number: 9340706
    Abstract: The invention provides chemical-mechanical polishing compositions and methods of chemically-mechanically polishing a substrate with the chemical-mechanical polishing compositions. The polishing compositions comprise first abrasive particles, wherein the first abrasive particles are ceria particles, second abrasive particles, wherein the second abrasive particles are ceria particles, surface-modified silica particles, or organic particles, a pH-adjusting agent, and an aqueous carrier. The polishing compositions also exhibit multimodal particle size distributions.
    Type: Grant
    Filed: October 10, 2013
    Date of Patent: May 17, 2016
    Assignee: Cabot Microelectronics Corporation
    Inventors: Brian Reiss, Jakub Nalaskowski, Viet Lam, Renhe Jia, Jeffrey Dysard
  • Patent number: 9330224
    Abstract: A method for manipulating a circuit design includes receiving multiple dummy cell modification parameters, selecting, by a computer processor and based on the dummy cell modification parameters, a dummy cell insertion region on a circuit design, and generating, in the dummy cell insertion region, multiple dummy cells. The method further includes selecting a first dummy cell from the dummy cells, determining, by the computer processor and based on a location of the first dummy cell, an illegal overlap with the first dummy cell, and removing, by the computer processor and from the dummy cells, the first dummy cell. The method further includes inserting, by the computer processor, on the circuit design, and after removing the first dummy cell, the dummy cells to obtain a modified circuit design, and presenting the modified circuit design.
    Type: Grant
    Filed: April 30, 2014
    Date of Patent: May 3, 2016
    Assignee: Oracle International Corporation
    Inventors: Duo Ding, Srinivas Sanivarapu, Lai-ching Lydia So, Joseph Curt Peters, Carl Alfred Shisler, Gary Lynn Fowler, Thuvan Le, Kaiwha Peng, Tao Hou, Wilson Fai Chin
  • Patent number: 9281253
    Abstract: A method of controlling polishing includes polishing a substrate at a first polishing station, monitoring the substrate with a first eddy current monitoring system to generate a first signal, determining an ending value of the first signal for an end of polishing of the substrate at the first polishing station, determining a first temperature at the first polishing station, polishing the substrate at a second polishing station, monitoring the substrate with a second eddy current monitoring system to generate a second signal, determining a starting value of the second signal for a start of polishing of the substrate at the second polishing station, determining a gain for the second polishing station based on the ending value, the starting value and the first temperature, and calculating a third signal based on the second signal and the gain.
    Type: Grant
    Filed: October 29, 2013
    Date of Patent: March 8, 2016
    Assignee: Applied Materials, Inc.
    Inventors: Kun Xu, Shih-Haur Shen, Boguslaw A. Swedek, Ingemar Carlsson, Doyle E. Bennett, Wen-Chiang Tu, Hassan G. Iravani, Tzu-Yu Liu
  • Patent number: 9003651
    Abstract: Various pattern transfer and etching steps can be used to create features. Conventional photolithography steps can be used in combination with pitch-reduction techniques to form superimposed, pitch-reduced patterns of crossing elongate features that can be consolidated into a single layer. Planarizing techniques using a filler layer and a protective layer are disclosed. Portions of an integrated circuit having different heights can be etched to a common plane.
    Type: Grant
    Filed: July 5, 2013
    Date of Patent: April 14, 2015
    Assignee: Micron Technology, Inc.
    Inventors: Mirzafer Abatchev, David Wells, Baosuo Zhou, Krupakar Murali Subramanian
  • Patent number: 8980748
    Abstract: A substrate polishing method, a semiconductor device and a fabrication method for a semiconductor device are disclosed by which high planarization polishing can be achieved. In the substrate polishing method, two or more different slurries formed from ceria abrasive grains having different BET values from each other are used to carry out two or more stages of chemical-mechanical polishing processing of a polishing object oxide film on a substrate to flatten the polishing object film.
    Type: Grant
    Filed: January 3, 2008
    Date of Patent: March 17, 2015
    Assignee: Sony Corporation
    Inventors: Hiroko Nakamura, Takaaki Kozuki, Takayuki Enomoto, Yuichi Yamamoto
  • Patent number: 8912092
    Abstract: A method of forming a semiconductor device may include, but is not limited to, the following processes. A multi-layered structure is prepared over a semiconductor substrate. The multi-layered structure may include, but is not limited to, first and second patterns of a first insulating film, a second insulating film covering the first pattern of the first insulating film, and a first conductive film covering the second pattern of the first insulating film. The second insulating film and the first conductive film are polished under conditions that the first and second insulating films are greater in polishing rate than the first conductive film, to expose the first and second patterns of the first insulating film.
    Type: Grant
    Filed: October 19, 2011
    Date of Patent: December 16, 2014
    Assignee: PS4 Luxco S.A.R.L.
    Inventor: Kyoko Miyata
  • Patent number: 8895446
    Abstract: A method includes forming a plurality of trenches extending from a top surface of a semiconductor substrate into the semiconductor substrate, with semiconductor strips formed between the plurality of trenches. The plurality of trenches includes a first trench and second trench wider than the first trench. A first dielectric material is filled in the plurality of trenches, wherein the first trench is substantially fully filled, and the second trench is filled partially. A second dielectric material is formed over the first dielectric material. The second dielectric material fills an upper portion of the second trench, and has a shrinkage rate different from the first shrinkage rate of the first dielectric material. A planarization is performed to remove excess second dielectric material. The remaining portions of the first dielectric material and the second dielectric material form a first and a second STI region in the first and the second trenches, respectively.
    Type: Grant
    Filed: February 18, 2013
    Date of Patent: November 25, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Tang Peng, Tai-Chun Huang, Hao-Ming Lien
  • Patent number: 8828841
    Abstract: A system and method for forming an isolation trench is provided. An embodiment comprises forming a trench and then lining the trench with a dielectric liner. Prior to etching the dielectric liner, an outgassing process is utilized to remove any residual precursor material that may be left over from the deposition of the dielectric liner. After the outgassing process, the dielectric liner may be etched, and the trench may be filled with a dielectric material.
    Type: Grant
    Filed: January 13, 2014
    Date of Patent: September 9, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Tang Peng, Bing-Hung Chen, Tze-Liang Lee, Hao-Ming Lien
  • Patent number: 8722545
    Abstract: A method of forming a transistor is disclosed, in which gate-to-substrate leakage is addressed by forming and maintaining a conformal oxide layer overlying the transistor gate. Using the method disclosed for an n-type device, the conformal oxide layer can be formed as part of the source-drain doping process. Subsequent removal of residual phosphorous dopants from the surface of the oxide layer is accomplished without significant erosion of the oxide layer. The removal step uses a selective deglazing process that employs a hydrolytic reaction, and an acid-base neutralization reaction that includes an ammonium hydroxide component.
    Type: Grant
    Filed: August 27, 2012
    Date of Patent: May 13, 2014
    Assignee: STMicroelectronics Pte Ltd.
    Inventors: Hong-Gap Chua, Yee-Chung Chan, Mei-Yu Muk
  • Patent number: 8647986
    Abstract: A semiconductor process includes the following steps. A first gate structure and a second gate structure are formed on a substrate, wherein the top of the first gate structure includes a cap layer, so that the vertical height of the first gate structure is higher than the vertical height of the second gate structure. An interdielectric layer is formed on the substrate. A first chemical mechanical polishing process is performed to expose the top surface of the cap layer. A second chemical mechanical polishing process is performed to expose the top surface of the second gate structure or an etching process is performed to remove the interdielectric layer located on the second gate structure. A second chemical mechanical polishing process is then performed to remove the cap layer.
    Type: Grant
    Filed: August 30, 2011
    Date of Patent: February 11, 2014
    Assignee: United Microelectronics Corp.
    Inventors: Po-Cheng Huang, Teng-Chun Tsai, Chia-Lin Hsu, Chun-Wei Hsu, Yen-Ming Chen, Chih-Hsun Lin, Chang-Hung Kung
  • Patent number: 8637403
    Abstract: A method of manufacturing a semiconductor structure includes varying local chemical mechanical polishing (CMP) abrading rates of an insulator film by selectively varying a carbon content of the insulator film.
    Type: Grant
    Filed: December 12, 2011
    Date of Patent: January 28, 2014
    Assignee: International Business Machines Corporation
    Inventors: Yoba Amoah, Graham M. Bates, Joseph P. Hasselbach, Thomas L. McDevitt, Eva A. Shah
  • Patent number: 8551886
    Abstract: A method for semiconductor processing is provided wherein a workpiece having an underlying body and a plurality of features extending therefrom, is provided. A first set of the plurality of features extend from the underlying body to a first plane, and a second set of the plurality features extend from the underlying body to a second plane. A protection layer overlies each of the plurality of features and an isolation layer overlies the underlying body and protection layer, wherein the isolation has a non-uniform first oxide density associated therewith. The isolation layer anisotropically etched based on a predetermined pattern, and then isotropically etched, wherein a second oxide density of the isolation layer is substantially uniform across the workpiece. The predetermined pattern is based, at least in part, on a desired oxide density, a location and extension of the plurality of features to the first and second planes.
    Type: Grant
    Filed: April 9, 2008
    Date of Patent: October 8, 2013
    Assignee: Texas Instruments Incorporated
    Inventors: Kyle P. Hunt, Leila Elvira Noriega, Billy Alan Wofford, Asadd M. Hosein, Binghua Hu, Xinfen Chen
  • Patent number: 8513033
    Abstract: A design method of a semiconductor device includes setting an inspection region of layout data generated based on circuit data, calculating an area ratio of a first area to a second area, the first area indicating an area of the inspection region, the second area indicating a sum of a surface area of a plane that a first member contacts with a second member, the second member contacting with the first member constituting a circuit element included in the inspection region, the second member further having different heat reflective properties from the first member, and arranging a dummy element in the layout data so that the area ratio is within a predetermined range in each inspection region of the layout data.
    Type: Grant
    Filed: October 25, 2010
    Date of Patent: August 20, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Naoyoshi Kawahara, Shinya Maruyama, Shinichi Miyake
  • Patent number: 8415232
    Abstract: A wafer is divided into individual devices along division lines formed on the front side of the wafer. The devices are respectively formed in a plurality of regions partitioned by the division lines. A protective member is provided on the front of the wafer, and the back of the wafer is ground to a predetermined thickness. A laser beam is applied to the wafer from the back side of the wafer along the division lines with the focal point of the laser beam set inside the wafer at a position corresponding to each division line, thereby forming a plurality of modified layers inside the wafer along the division lines. The wafer is divided along the modified layers into the individual devices, and the back side of the wafer is ground to remove the modified layers and reduce the thickness of each device to the finished thickness.
    Type: Grant
    Filed: October 20, 2011
    Date of Patent: April 9, 2013
    Assignee: Disco Corporation
    Inventors: Keiichi Kajiyama, Takatoshi Masuda
  • Patent number: 8329552
    Abstract: A system and method for forming an isolation trench is provided. An embodiment comprises forming a trench and then lining the trench with a dielectric liner. Prior to etching the dielectric liner, an outgassing process is utilized to remove any residual precursor material that may be left over from the deposition of the dielectric liner. After the outgassing process, the dielectric liner may be etched, and the trench may be filled with a dielectric material.
    Type: Grant
    Filed: July 22, 2011
    Date of Patent: December 11, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Tang Peng, Bing-Hung Chen, Tze-Liang Lee, Hao-Ming Lien
  • Publication number: 20120052692
    Abstract: Methods for fabricating porous low-k materials are provided, such as plasma enhanced chemically vapor deposited (PE-CVD) and chemically vapor deposited (CVD) low-k films used as dielectric materials in between interconnect structures in semiconductor devices. More specifically, a new method is provided which results in a low-k material with significant improved chemical stability and improved elastic modulus, for a porosity obtained.
    Type: Application
    Filed: September 6, 2011
    Publication date: March 1, 2012
    Applicant: IMEC
    Inventors: Mikhail Baklanov, Quoc Toan Le, Laurent Souriau, Patrick Verdonck
  • Patent number: 8124535
    Abstract: A method of fabricating a solar cell is provided. A saw damage removal process is performed on a silicon substrate. A dry surface treatment is performed to a surface of the silicon substrate on form an irregular surface. A metal-activated selective oxidation is performed to the irregular surface. By using an aqueous solution, the irregular surface is etched to form a nanotexturized surface of the silicon substrate. A dopant diffusion process is performed on the silicon substrate to form a P-N junction. An anti-reflection layer is formed on the silicon substrate. An electrode is formed on the silicon substrate.
    Type: Grant
    Filed: February 11, 2010
    Date of Patent: February 28, 2012
    Assignee: Industrial Technology Research Institute
    Inventors: Ching-Hsi Lin, Chien-Rong Huang, Dimitre Zahariev Dimitrov
  • Patent number: 8093678
    Abstract: A semiconductor device. The device includes an active region isolated by an isolation structure on a substrate, and a dielectric layer overlying the active region and the isolation structure. The dielectric layer comprises a lower part overlying the active region beyond the boundary of the active region and the isolation structure, and a protruding part overlying the boundary of the active region and the isolation structure.
    Type: Grant
    Filed: April 5, 2007
    Date of Patent: January 10, 2012
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ching-Shan Lu, Feng-Liang Lai, Shean-Ren Horng
  • Patent number: 8017464
    Abstract: As a method for constituting a pre-metal interlayer insulating film, such method is considered as forming a CVD silicon oxide-based insulating film having good filling properties of a silicon oxide film by ozone TEOS, reflowing the film at high temperatures to planarize it, then stacking a silicon oxide film having good CMP scratch resistance by plasma TEOS, and, further, planarizing it by CMP. However, it was made clear that, in a process for forming a contact hole, crack in the pre-metal interlayer insulating film is exposed in the contact hole, into which barrier metal intrudes to cause short-circuit defects. In the present invention, in the pre-metal process, after forming the ozone TEOS film over an etch stop film, the ozone TEOS film is once etched back so as to expose the etch stop film over a gate structure, and, after that, a plasma TEOS film is formed over the remaining ozone TEOS film, and then the plasma TEOS film is planarized by CMP.
    Type: Grant
    Filed: September 12, 2009
    Date of Patent: September 13, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Masao Sugiyama, Yoshiyuki Kaneko, Yoshinori Kondo, Masayoshi Hirasawa
  • Publication number: 20110201209
    Abstract: Methods and systems for planarization of a die-to-wafer integration. A planarization coating may be applied to the die-to-wafer assembly, and a planarization plate may be used in the planarization process. The planarization plate may include perforations configured to allow a portion of the planarization coating to extrude through the planarization plate.
    Type: Application
    Filed: February 17, 2010
    Publication date: August 18, 2011
    Inventors: Sharath Hosali, Gregory Smith, Larry Smith
  • Patent number: 7993960
    Abstract: Provided are an electronic device including a bank structure and a method of manufacturing the same. The method of manufacturing the electronic device requires a fewer number of processes and comprises a direct patterning of insulating layers, such as fluorinated organic polymer layers, is possible using cost-efficient techniques such as inkjet printing.
    Type: Grant
    Filed: December 13, 2007
    Date of Patent: August 9, 2011
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventors: Arthur Mathea, Joerg Fischer, Marcus Schaedig
  • Patent number: 7960821
    Abstract: An integrated circuit device and method of making the integrated circuit device are disclosed. An exemplary apparatus includes: a semiconductor layer; and a dielectric layer on the semiconductor layer, the dielectric layer having conductive vias and dummy vias formed therein, wherein the conductive vias and dummy vias extend varying distances into the dielectric layer, the conductive vias extending through the dielectric layer to the semiconductor layer, and the dummy vias extending through the dielectric layer to a distance above the semiconductor layer.
    Type: Grant
    Filed: March 3, 2010
    Date of Patent: June 14, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kuei Shun Chen, Chin-Hsiang Lin, Vencent Chang, Lawrence Lin, Lai Chien Wen, Jhun Hua Chen
  • Patent number: 7943470
    Abstract: The semiconductor device according to the present invention includes a through electrode that penetrates through a silicon substrate, an isolation trench provided to penetrate through the silicon substrate to surround the through electrode, a silicon film in contact with an inner surface of the isolation trench, a silicon film in contact with an outer surface of the isolation trench, and an insulation film provided between the silicon films. According to the present invention, the silicon film within the isolation trench can be substantially regarded as a part of the silicon substrate. Therefore, even when the width of the isolation trench is increased to increase the etching rate, the width of the insulation film becoming a dead space can be made sufficiently small. Consequently, the chip area can be decreased.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: May 17, 2011
    Assignee: Elpida Memory, Inc.
    Inventor: Shiro Uchiyama
  • Publication number: 20110070745
    Abstract: A polishing method includes performing conditioning process of injecting a conditioning agent onto a surface of a non-foam polishing pad arranged on a polishing table at a predetermined pressure, and polishing a surface of a polishing target while supplying a polishing slurry containing oxide particles and a surfactant onto the polishing pad, wherein an average of a residual cerium amount is equal to or smaller than 0.35 at % when a plurality of measurement regions, each 200 ?m? in area including the surface of the polishing pad, in a cross section of the polishing pad are measured after the conditioning process.
    Type: Application
    Filed: May 6, 2010
    Publication date: March 24, 2011
    Inventors: Yukiteru MATSUI, Satoko Seta, Takatoshi Ono, Hajime Eda
  • Patent number: 7897488
    Abstract: A wafer dividing method for dividing a wafer having a film on the front side thereof.
    Type: Grant
    Filed: May 19, 2009
    Date of Patent: March 1, 2011
    Assignee: Disco Corporation
    Inventors: Yosuke Watanabe, Ryugo Oba, Masaru Nakamura
  • Publication number: 20110006406
    Abstract: A method is provided for producing a porogen-residue-free ultra low-k film with porosity higher than 50% and a high elastic modulus above 5 GPa. The method starts with depositing a SiCOH film using Plasma Enhanced Chemical Vapor Deposition (PE-CVD) or Chemical Vapor Deposition (CVD) onto a substrate and then first Performing an atomic hydrogen treatment at elevated wafer temperature in the range of 200° C. up to 350° C. to remove all the porogens and then performing a UV assisted thermal curing step.
    Type: Application
    Filed: July 7, 2010
    Publication date: January 13, 2011
    Applicants: IMEC, Katholieke Universiteit Leuven, K.U. LEUVEN R&D
    Inventors: Adam Michal Urbanowicz, Patrick Verdonck, Denis Shamiryan, Kris Vanstreels, Mikhail Baklanov, Stefan De Gendt
  • Patent number: 7825029
    Abstract: A method for the patterned coating of a substrate with at least one surface is provided. The method is suitable for the rapid and inexpensive production of precise patterns. The method includes the steps of: producing at least one negatively patterned first coating on the at least one surface, depositing at least one second layer, which includes a material with a vitreous structure, on the surface, and at least partially removing the first coating.
    Type: Grant
    Filed: April 15, 2003
    Date of Patent: November 2, 2010
    Assignee: Schott AG
    Inventors: Jurgen Leib, Florian Bieck, Dietrich Mund
  • Patent number: 7759763
    Abstract: A semiconductor device which, in spite of the existence of a dummy active region, eliminates the need for a larger chip area and improves the surface flatness of the semiconductor substrate. In the process of manufacturing it, a thick gate insulating film for a high voltage MISFET is formed over an n-type buried layer as an active region and a resistance element IR of an internal circuit is formed over the gate insulating film. Since the thick gate insulating film lies between the n-type buried layer and the resistance element IR, the coupling capacitance produced between the substrate (n-type buried layer) and the resistance element IR is reduced.
    Type: Grant
    Filed: May 6, 2008
    Date of Patent: July 20, 2010
    Assignee: Renesas Technology Corp.
    Inventors: Keiichi Yoshizumi, Kazuhisa Higuchi, Takayuki Nakaji, Masami Koketsu, Hideki Yasuoka