Using Mask (epo) Patents (Class 257/E21.257)
  • Publication number: 20120322173
    Abstract: A system for processing a semiconductor substrate is provided. The system includes a mainframe having a plurality of modules attached thereto. The modules include processing modules, storage modules, and transport mechanisms. The processing modules may include combinatorial processing modules and conventional processing modules, such as surface preparation, thermal treatment, etch and deposition modules. In one embodiment, at least one of the modules stores multiple masks. The multiple masks enable in-situ variation of spatial location and geometry across a sequence of processes and/or multiple layers of a substrate to be processed in another one of the modules. A method for processing a substrate is also provided.
    Type: Application
    Filed: August 28, 2012
    Publication date: December 20, 2012
    Applicant: Intermolecular, Inc.
    Inventors: Tony P. Chiang, Richard R. Endo, James Tsung
  • Publication number: 20120322269
    Abstract: A method of fabricating a substrate includes forming first and second spaced features over a substrate. The first spaced features have elevationally outermost regions which are different in composition from elevationally outermost regions of the second spaced features. The first and second spaced features alternate with one another. Every other first feature is removed from the substrate and pairs of immediately adjacent second features are formed which alternate with individual of remaining of the first features. After such act of removing, the substrate is processed through a mask pattern comprising the pairs of immediately adjacent second features which alternate with individual of the remaining of the first features. Other embodiments are disclosed.
    Type: Application
    Filed: August 28, 2012
    Publication date: December 20, 2012
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Scott Sills, Gurtej S. Sandhu, Anton deVilliers
  • Publication number: 20120315766
    Abstract: In a semiconductor device manufacturing method, on a film to be processed, a mask material film is formed which has pattern openings for a plurality of contact patterns and connection openings for connecting adjacent pattern openings in such a manner that the connection between them is constricted in the middle. Then, a sidewall film is formed on the sidewalls of the individual openings in the mask material film, thereby not only making the diameter of the pattern openings smaller but also separating adjacent pattern openings. Then, the film to be processed is selectively etched with the mask material film and sidewall film as a mask, thereby making contact holes.
    Type: Application
    Filed: August 23, 2012
    Publication date: December 13, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Shinya Watanabe
  • Publication number: 20120309197
    Abstract: A method of forming a semiconductor structure includes forming an opening in a substrate. A dielectric layer is formed and substantially conformal to the opening. A sacrificial structure is formed within the opening, covering a portion of the dielectric layer. A portion of the dielectric layer is removed by using the sacrificial structure as an etch mask layer. The sacrificial structure is removed.
    Type: Application
    Filed: June 2, 2011
    Publication date: December 6, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hsing-Fei CHOU, Chia-Hua CHU, Jieh-Jang CHEN, Feng-Jia SHIU, Hung Chang HSIEH
  • Publication number: 20120302068
    Abstract: A method for manufacturing a semiconductor integrated circuit includes providing a substrate having at least a metal hard mask formed thereon. Subsequently a patterning step is performed to the metal hard mask to form a patterned metal hard mask and followed by performing a H2O plasma treatment to the patterned metal hard mask.
    Type: Application
    Filed: May 24, 2011
    Publication date: November 29, 2012
    Inventor: Chun-Lung Chen
  • Publication number: 20120302069
    Abstract: A method of forming a reverse image pattern on a semiconductor base layer is disclosed. The method comprises depositing a transfer layer of amorphous carbon on the semiconductor base layer, depositing a resist layer on the transfer layer, creating a first pattern in the resist layer, creating the first pattern in the transfer layer, removing the resist layer, depositing a reverse mask layer, planarizing the reverse mask layer, and removing the transfer layer, thus forming a second pattern that is a reverse image of the first pattern.
    Type: Application
    Filed: May 27, 2011
    Publication date: November 29, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Viraj Yashawant Sardesai, Michael P. Belyansky, Rajasekhar Venigalla
  • Patent number: 8309460
    Abstract: Provided are methods of manufacturing semiconductor devices by which two different kinds of contact holes with different sizes are formed using one photolithography process. The methods include preparing a semiconductor substrate in which an active region is titled in a diagonal direction. A hard mask is formed on the entire surface of the semiconductor substrate. A mask hole is patterned not to overlap a word line. A first oxide layer is deposited on the hard mask, and the hard mask is removed to form a piston-shaped sacrificial pattern. A first polysilicon (poly-Si) layer is deposited on the sacrificial pattern and patterned to form a cylindrical first sacrificial mask surrounding the piston-shaped sacrificial pattern. A second oxide layer is coated on the first sacrificial mask to such an extent as to form voids. A second poly-Si layer is deposited in the voids and patterned to form a pillar-shaped second sacrificial mask. The second oxide layer is removed to expose the active region.
    Type: Grant
    Filed: May 14, 2010
    Date of Patent: November 13, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dae-Ik Kim, Ho-Jun Yi
  • Patent number: 8304262
    Abstract: A method for etching features in an etch layer. A conditioning for a patterned pseudo-hardmask of amorphous carbon or polysilicon disposed over the etch layer is provided, where the conditioning comprises providing a fluorine free deposition gas comprising a hydrocarbon gas, forming a plasma from the fluorine free deposition gas, providing a bias less than 500 volts, and forming a deposition on top of the patterned pseudo-hardmask. The etch layer is etched through the patterned pseudo-hardmask.
    Type: Grant
    Filed: February 17, 2011
    Date of Patent: November 6, 2012
    Assignee: Lam Research Corporation
    Inventors: Ben-Li Sheu, Rajinder Dhindsa, Vinay Pohray, Eric A. Hudson, Andrew D. Bailey, III
  • Publication number: 20120276743
    Abstract: A method of forming a carbon type hard mask layer using induced coupled plasma includes loading a substrate onto a lower electrode in a process chamber of an induced coupled plasma (ICP) deposition apparatus, the process chamber including an upper electrode and the lower electrode therein, generating a plasma in the process chamber, injecting a reactive gas into the process chamber such that the reactive gas is activated by colliding with the plasma, the reactive gas including a hydrocarbon compound gas, and applying a bias power to the lower electrode to form a diamond-like carbon layer on the substrate from the activated reactive gas.
    Type: Application
    Filed: April 26, 2012
    Publication date: November 1, 2012
    Inventors: Jai-Hyung Won, Se-Jun Park
  • Patent number: 8298879
    Abstract: The present invention generally relates to thin film transistors (TFTs) and methods of making TFTs. The active channel of the TFT may comprise one or more metals selected from the group consisting of zinc, gallium, tin, indium, and cadmium. The active channel may also comprise nitrogen and oxygen. To protect the active channel during source-drain electrode patterning, an etch stop layer may be deposited over the active layer. The etch stop layer prevents the active channel from being exposed to the plasma used to define the source and drain electrodes. The etch stop layer and the source and drain electrodes may be used as a mask when wet etching the active material layer that is used for the active channel.
    Type: Grant
    Filed: July 14, 2011
    Date of Patent: October 30, 2012
    Assignee: Applied Materials, Inc.
    Inventor: Yan Ye
  • Publication number: 20120252217
    Abstract: A resist underlayer film-forming composition includes (A) a polymer that includes a repeating unit shown by a formula (1), and has a polystyrene-reduced weight average molecular weight of 3000 to 10,000, and (B) a solvent, wherein R3 to R8 individually represent a group shown by the following formula (2) or the like, —O—R1?R2 ??(2) wherein R1 represents a single bond or the like, and R2 represents a hydrogen atom or the like.
    Type: Application
    Filed: August 30, 2011
    Publication date: October 4, 2012
    Applicant: JSR Corporation
    Inventors: Shin-ya MINEGISHI, Yushi MATSUMURA, Shinya NAKAFUJI, Kazuhiko KOMURA, Takanori NAKANO, Satoru MURAKAMI, Kyoyu YASUDA, Makoto SUGIURA
  • Publication number: 20120244710
    Abstract: In sophisticated semiconductor devices, manufacturing techniques and etch masks may be formed on the basis of a mask layer stack which comprises an additional mask layer, which may receive an opening on the basis of lithography techniques. Thereafter, the width of the mask opening may be reduced by applying a selective deposition or growth process, which thus results in a highly uniform and well-controllable adjustment of the target width of the etch mask prior to performing the actual patterning process, for instance for forming sophisticated contact openings, via openings and the like.
    Type: Application
    Filed: March 23, 2011
    Publication date: September 27, 2012
    Applicants: GLOBALFOUNDRIES Dresden Module One Limited Liability Company & Co. KG, GLOBALFOUNDRIES INC.
    Inventors: Dmytro Chumakov, Volker Grimm
  • Publication number: 20120231599
    Abstract: A method of manufacturing semiconductor devices includes forming a plurality of patterns spaced apart from each other on a semiconductor substrate, forming a filling layer, not removed in a subsequent process of forming a mask pattern and where the filling layer formed to have a lower height than the plurality of patterns, between the plurality of patterns, forming a mask layer on the entire structure where the filling layer is formed, and forming the mask pattern by removing some of the mask layer so that some of the plurality of patterns is removed.
    Type: Application
    Filed: March 9, 2012
    Publication date: September 13, 2012
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Min Gyu KOO
  • Publication number: 20120228743
    Abstract: Methods of manufacturing semiconductor devices and methods of optical proximity correction methods are disclosed. In one embodiment, a method of manufacturing a semiconductor device includes determining an amount of reactive ion etch (RIE) lag of a RIE process for a material layer of the semiconductor device, and adjusting a size of at least one pattern for a feature of the material layer by an adjustment amount to partially compensate for the amount of RIE lag determined.
    Type: Application
    Filed: May 24, 2012
    Publication date: September 13, 2012
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: O Seo Park, Wai-Kin Li
  • Publication number: 20120225560
    Abstract: The disclosure relates to a method for etching a target layer, comprising: depositing a hard mask layer onto a target layer and onto the hard mask layer, a first photosensitive layer, exposing the first photosensitive layer through a first mask to transfer first patterns into the photosensitive layer, transferring the first patterns into the hard mask layer, depositing onto the hard mask layer etched a second photosensitive layer, exposing the second photosensitive layer through a second mask to transfer second patterns into the second photosensitive layer, transferring the second patterns into the hard mask layer by etching this layer, and transferring the first and second patterns into the target layer through the hard mask, the second patterns forming lines, and the first patterns forming trenches cutting the lines in the hard mask.
    Type: Application
    Filed: March 2, 2012
    Publication date: September 6, 2012
    Applicant: STMICROELECTRONICS (CROLLES 2) SAS
    Inventors: Pascal Gouraud, Bertrand Le-Gratiet
  • Publication number: 20120223418
    Abstract: Solution processible hardmasks are described that can be formed from aqueous precursor solutions comprising polyoxometal clusters and anions, such as polyatomic anions. The solution processible metal oxide layers are generally placed under relatively thin etch resist layers to provide desired etch contrast with underlying substrates and/or antireflective properties. In some embodiments, the metal oxide hardmasks can be used along with an additional hardmask and/or antireflective layers. The metal oxide hardmasks can be etched with wet or dry etching. Desirable processing improvements can be obtained with the solution processible hardmasks.
    Type: Application
    Filed: February 28, 2012
    Publication date: September 6, 2012
    Inventors: Jason K. Stowers, Stephen T. Meyers, Michael Kocsis, Douglas A. Keszler, Andrew Grenville
  • Publication number: 20120217623
    Abstract: The present invention discloses an inter-level dielectric layer for a semiconductor device, a method for manufacturing the same and a semiconductor device having said inter-level dielectric layer. The method lies in forming non-interconnected holes within a dielectric layer, and these holes may be filled with porous low-k dielectric material with a much lower dielectric constant, or forming holes within the dielectric layer by filling the upper parts of the holes. The inter-level dielectric layer in such a structure has a much lower dielectric constant, reduces RC delay between devices of integrated circuits and also is easy to integrate; besides, since the holes within the dielectric layer are non-interconnected, they shall not cause change to the dielectric constant of the dielectric material or a short circuit between wires, thus the device shall have better stability and reliability which thence improve performance of the circuit.
    Type: Application
    Filed: February 26, 2011
    Publication date: August 30, 2012
    Inventors: Huicai Zhong, Qingqing Liang
  • Publication number: 20120202326
    Abstract: Embodiments of methods for fabricating the semiconductor devices are provided. The method includes forming a layer of spacer material over a semiconductor region that includes a first gate electrode structure and a second gate electrode structure. Carbon is introduced into a portion of the layer covering the semiconductor region about the first gate electrode structure or the second gate electrode structure. The layer is etched to form a first sidewall spacer about the first gate electrode structure and a second sidewall spacer about the second gate electrode structure.
    Type: Application
    Filed: February 3, 2011
    Publication date: August 9, 2012
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Stephan-Detlef KRONHOLZ, Peter JAVORKA, Roman BOSCHKE
  • Patent number: 8232184
    Abstract: Silicon carbide semiconductor device includes trench, in which connecting trench section is connected to straight trench section. Straight trench section includes first straight trench and second straight trench extending in parallel to each other. Connecting trench section includes first connecting trench perpendicular to straight trench section, second connecting trench that connects first straight trench and first connecting trench to each other, and third connecting trench that connects second straight trench and first connecting trench to each other. Second connecting trench extends at 30 degrees of angle with the extension of first straight trench. Third connecting trench extends at 30 degrees of angle with the extension of second straight trench. A manufacturing method according to the invention for manufacturing a silicon carbide semiconductor device facilitates preventing defects from being causes in a silicon carbide semiconductor device during the manufacture thereof.
    Type: Grant
    Filed: December 1, 2009
    Date of Patent: July 31, 2012
    Assignee: Fuji Electric Co., Ltd.
    Inventors: Yasuyuki Kawada, Takeshi Tawara
  • Publication number: 20120190204
    Abstract: The present invention provides a method to form deep features in a stacked semiconductor structure. Deposition of a non-conformal hardmask onto a patterned topography can form a hardmask to protect all but recessed areas with minimal integration steps. The invention enables etching deep features, even through multiple BEOL layers, without multiple additional process steps.
    Type: Application
    Filed: January 26, 2011
    Publication date: July 26, 2012
    Applicant: International Business Machines Corporation
    Inventors: Troy L. Graves-Abe, Mukta G. Farooq
  • Patent number: 8227331
    Abstract: The present invention is related to a method for providing solder material on a predetermined area on a substrate. In various embodiments, the solder material is deposited on a wetting layer which lies within an area on a substrate having a confinement layer. Further a packaging method and package are disclosed.
    Type: Grant
    Filed: February 28, 2005
    Date of Patent: July 24, 2012
    Assignee: IMEC
    Inventors: Joachim John, Lars Zimmerman
  • Publication number: 20120181705
    Abstract: Embodiments of the invention comprise pitch division techniques to extend the capabilities of lithographic techniques beyond their minimum pitch. The pitch division techniques described herein employ additional processing to ensure pitch divided lines have the spatial isolation necessary to prevent shorting problems. The pitch division techniques described herein further employ processing acts to increase the structural robustness of high aspect ratio features.
    Type: Application
    Filed: March 27, 2012
    Publication date: July 19, 2012
    Inventors: Sanh D. Tang, Scott Sills, Haitao Liu
  • Publication number: 20120184105
    Abstract: A method for forming openings is provided. First, a substrate with a silicon-containing photo resist layer thereon is provided. Second, a first photo resist pattern is formed on the silicon-containing photo resist layer. Later, a first etching procedure is carried out on the silicon-containing photo resist layer to form a plurality of first openings by using the first photo resist pattern as an etching mask. Next, a second photo resist pattern is formed on the silicon-containing photo resist layer. Then, a second etching procedure is carried out on the silicon-containing photo resist layer to form a plurality of second openings by using the second photo resist pattern as an etching mask.
    Type: Application
    Filed: March 27, 2012
    Publication date: July 19, 2012
    Inventors: Pei-Yu Chou, Jiunn-Hsiung Liao
  • Publication number: 20120184104
    Abstract: A method for fabricating a fine pattern in a semiconductor device includes forming a first photoresist over a substrate where an etch target layer is formed, doping at least one impurity selected from group III elements and group V elements, of the periodic table, into the first photoresist, forming a photoresist pattern over the first photoresist, performing a dry etching process using the photoresist pattern to expose the first photoresist, etching the first photoresist by an oxygen-based dry etching to form a first photoresist pattern where a doped region is oxidized, and etching the etch target layer using the first photoresist pattern as an etch barrier.
    Type: Application
    Filed: March 22, 2012
    Publication date: July 19, 2012
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Jin-Ki JUNG
  • Publication number: 20120171865
    Abstract: A method for fabricating fine patterns includes forming a first photomask including first line patterns and first assist features and forming a second photomask including second line patterns extending to a portion corresponding to the first assist features in a direction perpendicular to the first line patterns. A first resist layer may be exposed through a first exposure process by using the first photomask, and a first resist pattern formed to open regions following the shape of the first line patterns. The first resist pattern may be frozen and a second resist layer may be formed to fill the opened regions of the first resist pattern. The second resist layer may be exposed through a second exposure process by using the second photomask, and a second resist pattern formed to open regions corresponding to the intersections between the first and second line patterns with the first resist pattern.
    Type: Application
    Filed: September 23, 2011
    Publication date: July 5, 2012
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Min Ae YOO
  • Patent number: 8211807
    Abstract: A method of forming an integrated circuit structure includes forming a first and a second plurality of tracks parallel to a first direction and on a wafer representation. The first and the second plurality of tracks are allocated in an alternating pattern. A first plurality of patterns is laid out on the first plurality of tracks and not on the second plurality of tracks. A second plurality of patterns is laid out on the second plurality of tracks and not on the first plurality of tracks. The first plurality of patterns is extended in the first direction and in a second direction perpendicular to the first direction, so that each of the second plurality of patterns is surrounded by portions of the first plurality of patterns, and substantially none of neighboring ones of the first plurality of patterns on the wafer representation have spacings greater than a pre-determined spacing.
    Type: Grant
    Filed: October 19, 2010
    Date of Patent: July 3, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Huang-Yu Chen, Ken-Hsien Hsieh, Tsong-Hua Ou, Fang-Yu Fan, Yuan-Te Hou, Ming-Feng Shieh, Ru-Gun Liu, Lee-Chung Lu
  • Publication number: 20120164835
    Abstract: The present invention provides a method of forming via holes. First, a substrate is provided. A plurality of first areas is defined on the substrate. A dielectric layer and a blocking layer are formed on the substrate. A patterned photoresist layer is formed on the blocking layer. The patterned photoresist layer includes a plurality of holes arranged in a regular array wherein the area of the hole array is greater than those of the first areas. The blocking layer in the first areas is removed by using the patterned photoresist layer as a mask. Lastly, the dielectric layer is patterned to form at least a via hole in the dielectric layer in the first area.
    Type: Application
    Filed: December 23, 2010
    Publication date: June 28, 2012
    Inventors: Cheng-Han Wu, Chun-Chi Yu
  • Publication number: 20120156850
    Abstract: A method for fabricating a fine pattern includes forming a first photomask including first light transmission regions set in a line shape over a first phase shift mask (PSM) region and a first binary mask (BM) region adjacent to the first phase shift mask region. A second photomask may be formed to include second light transmission regions set in a line shape over a second phase shift mask region and a second binary mask region adjacent to the second phase shift mask region, wherein the second light transmission regions intersect the first light transmission regions. A resist layer may first be exposed using the first photomask and secondly exposed using the second photomask. The first and secondly exposed resist layer may be developed to form resist patterns with open regions corresponding to portions where the first light transmission regions intersect the second light transmission regions.
    Type: Application
    Filed: September 23, 2011
    Publication date: June 21, 2012
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Hyun Jo YANG
  • Patent number: 8203176
    Abstract: To make it possible to significantly suppress the leakage current in a semiconductor device having a capacitor structure using a dielectric film. There is provided a composite oxide dielectric which is mainly composed of Zr, Al and O, and which has a composition ratio of Zr and Al in a range of (1?x):x where 0.01?x?0.15, and has a crystal structure. When the dielectric is set to have the Al composition in the above described range and is crystallized, the relative dielectric constant of the dielectric can be significantly increased. When the dielectric is used as a dielectric film of a capacitor of a semiconductor device, the leakage current of the capacitor can be significantly reduced.
    Type: Grant
    Filed: February 4, 2008
    Date of Patent: June 19, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Takashi Nakagawa, Toru Tatsumi, Nobuyuki Ikarashi, Makiko Oshida
  • Publication number: 20120149204
    Abstract: A method for forming vias and trenches for an interconnect structure on a substrate includes exposing via pitch reduction patterns in a photoresist layer, developing the patterns to remove the via pitch reduction patterns, etching the photoresist layer partially using a polymer gas to reshape the pattern into small via shapes, and etching the remaining photoresist layer to extend the reshaped pattern. The reshaped small via shape patterns have a smaller pitch than the via pitch reduction patterns in a long direction. For via pitch reduction patterns having two vias each, the pattern has a peanut-shape. During the reshaping etch operation, the polymer gas deposits more in a pinched-in middle section while allowing downward etch in unpinched sections.
    Type: Application
    Filed: September 8, 2011
    Publication date: June 14, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Wen-Kuo HSIEH, Marowen NG, Ming-Chung LIANG, Hsin-Yi TSAI
  • Publication number: 20120139086
    Abstract: An example embodiment relates to a patterning process including forming a photoresist pattern on a structure. The photoresist pattern includes a cross-linked surface that is insoluble in an organic solvent. The process also includes spin-on coating a dielectric layer on the photoresist pattern, partially removing the dielectric layer to form a plurality of dielectric spacers surrounding the photoresist pattern, and removing the photoresist pattern.
    Type: Application
    Filed: September 27, 2011
    Publication date: June 7, 2012
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Kyoung Mi Kim, Jin Ha Jeong
  • Patent number: 8187978
    Abstract: A method of forming openings to a layer of a semiconductor device comprises forming a dielectric layer over the layer of the semiconductor device, forming a main mask over the dielectric layer, the main mask comprising a plurality of main mask openings arranged in a regular pattern extending over the dielectric layer, using a selector mask to select some of the plurality of main mask openings and removing portions of the dielectric layer through the selected some of the plurality of main mask openings to provide openings extending through the dielectric layer to the layer.
    Type: Grant
    Filed: July 27, 2007
    Date of Patent: May 29, 2012
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Scott Warrick, Massud Abubaker Aminpur
  • Publication number: 20120108070
    Abstract: A method for forming a semiconductor device is disclosed.
    Type: Application
    Filed: July 27, 2011
    Publication date: May 3, 2012
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Kyung Ae KIM
  • Patent number: 8148232
    Abstract: Methods and apparatuses for alignment are disclosed. An exemplary method includes providing a substrate having a device region and an alignment region; forming a first material layer over the substrate; forming a device feature and a dummy feature in the first material layer, wherein the device feature is formed in the device region and the dummy feature is formed in the alignment region; forming a second material layer over the first material layer; and forming an alignment feature in the second material layer, the alignment feature being disposed over the dummy feature in the alignment region. The device feature has a first dimension and the dummy feature has a second dimension, the second dimension being less than a resolution of an alignment mark detector.
    Type: Grant
    Filed: August 11, 2010
    Date of Patent: April 3, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Meng-Wei Chen, Chi-Chuang Lee, Chung-Hsien Lin
  • Patent number: 8138560
    Abstract: Without sacrificial layer etching, a microstructure and a micromachine are manufactured. A separation layer 102 is formed over a substrate 101, and a layer 103 to be a movable electrode is formed over the separation layer 102. At an interface of the separation layer 102, the layer 103 to be a movable electrode is separated from the substrate. A layer 106 to be a fixed electrode is formed over another substrate 105. The layer 103 to be a movable electrode is fixed to the substrate 105 with the spacer layer 103 which is partially provided interposed therebetween, so that the layer 103 to be a movable electrode and a layer 106 to be a fixed electrode face each other.
    Type: Grant
    Filed: May 14, 2007
    Date of Patent: March 20, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Mayumi Yamaguchi, Konami Izumi
  • Publication number: 20120045901
    Abstract: In a method of forming a pattern structure, a cut-off portion of the node-separated line of a semiconductor device is formed by a double patterning process by using a connection portion of the sacrificial mask pattern and the mask pattern to thereby improve alignment margin. The alignment margin between the mask pattern and the sacrificial mask pattern is increased to an amount of the length of the connection portion of the sacrificial mask pattern. The lines adjacent to the node-separated line include a protrusion portion protruding toward the cut-off portion of the separated line.
    Type: Application
    Filed: August 18, 2011
    Publication date: February 23, 2012
    Inventors: JONG-HYUK KIM, Keon-Soo Kim, Kwang-Shik Shin, Hyun-Chul Back, Seong-Soon Cho, Young-Bae Yoon, Jung-Hwan Park
  • Publication number: 20120045891
    Abstract: Some embodiments include methods of forming patterns in substrates by utilizing block copolymer assemblies as patterning materials. A block copolymer assembly may be formed over a substrate, with the assembly having first and second subunits arranged in a pattern of two or more domains. Metal may be selectively coupled to the first subunits relative to the second subunits to form a pattern of metal-containing regions and non-metal-containing regions. At least some of the block copolymer may be removed to form a patterned mask corresponding to the metal-containing regions. A pattern defined by the patterned mask may be transferred into the substrate with one or more etches. In some embodiments, the patterning may be utilized to form integrated circuitry, such as, for example, gatelines.
    Type: Application
    Filed: November 3, 2011
    Publication date: February 23, 2012
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Dan Millward, Scott Sills
  • Publication number: 20120034782
    Abstract: A method of forming fine patterns according to an aspect of the present disclosure comprises stacking a hard mask layer and a first auxiliary layer over an underlying layer, removing regions of the first auxiliary layer, thereby forming first auxiliary patterns to expose regions of the hard mask layer, filling between the first auxiliary patterns with a second auxiliary layer, wherein a material of the second auxiliary layer is different from that of the first auxiliary layer, lowering a height of the second auxiliary layer by removing the second auxiliary layer to expose sidewalls of the first auxiliary patterns, forming spacers on the exposed sidewalls of the first auxiliary patterns to expose regions of the second auxiliary layer, wherein a material of the spacers is different from that of the second auxiliary layer, removing the exposed regions of the second auxiliary layer, removing the spacers and the first auxiliary patterns to expose regions of the hard mask layer and removing the exposed regions of t
    Type: Application
    Filed: December 1, 2010
    Publication date: February 9, 2012
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Choong Bae Kim
  • Publication number: 20120021589
    Abstract: Provided is a photolithography apparatus including a photomask. The photomask includes a pattern having a plurality of features, in an example, dummy line features. The pattern includes a first region being in the form of a localized on-grid array and a second region where at least one of the features has an increased width. The apparatus may include a second photomask which may define an active region. The feature with an increased width may be adjacent, and outside, the defined active region.
    Type: Application
    Filed: September 29, 2011
    Publication date: January 26, 2012
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd., ("TSMC")
    Inventors: Ming-Feng Shieh, Shinn-Sheng Yu, Anthony Yen, Shao-Ming Yu, Chang-Yun Chang, Jeff J. Xu, Clement Hsingjen Wann
  • Publication number: 20120009795
    Abstract: A resist film (102) made of a chemically amplified resist material including a polymer containing an acid leaving group and a group in which a lactone is replaced with hydrogen in an OH group of phenol is formed on a substrate (101). The resist film (102) is then selectively irradiated with exposure light, thereby performing pattern exposure. After the pattern exposure, the resist film (102) is heated, and then developed, thereby forming a resist pattern (102a) out of the resist film (102).
    Type: Application
    Filed: September 20, 2011
    Publication date: January 12, 2012
    Applicant: PANASONIC CORPORATION
    Inventors: Masayuki ENDOU, Masaru Sasago
  • Publication number: 20110306206
    Abstract: A method of forming contact openings in the fabrication of integrated circuitry includes forming a mask which includes at least one of photoresist and amorphous carbon received over a plurality of spaced conductive line constructions. The conductive line constructions include insulative caps and insulative sidewalls. The mask includes a plurality of spaced lines and trench spaces between adjacent of the spaced lines. The spaced lines and the trench spaces angle relative to the conductive line constructions. The trench spaces are received over node locations which are received between adjacent of the conductive line constructions. The at least one of photoresist and amorphous carbon is treated with a plasma to reduce lateral width of the spaced lines and to increase lateral width of the trench spaces. After the treating, contact openings are etched to the node locations selectively relative to the insulative caps and the insulative sidewalls.
    Type: Application
    Filed: June 15, 2010
    Publication date: December 15, 2011
    Inventors: Mark Kiehlbauch, Anton deVilliers
  • Patent number: 8070972
    Abstract: The present invention relates to an etching method for etching a film to form a concave portion therein with the use of a photoresist mask provided with an opening. In this method, there is determined, in advance, a first correlation between a parameter value and an opening dimension of the concave portion, as a process parameter for the etching process, when the etching process is conducted with the use of the mask provided with the opening of a reference opening dimension. In addition, there is determined, in advance, a second correlation between a variation in opening dimension of the mask and a variation in opening dimension of the concave portion. When conducting the etching process, an actual opening dimension of the mask is measured.
    Type: Grant
    Filed: March 29, 2007
    Date of Patent: December 6, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Hiroshi Tsujimoto
  • Publication number: 20110275218
    Abstract: A method of lithography patterning includes forming a hard mask layer on a material layer and forming a capping layer on the hard mask layer. The capping layer does not react with oxygen gas during a photoresist ashing process. The capping layer is patterned by using a first resist pattern and a second resist pattern as etch masks. After the capping layer is patterned, the hard mask layer is patterned by using the patterned capping layer as an etch mask.
    Type: Application
    Filed: July 18, 2011
    Publication date: November 10, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ming-Chung LIANG, Chih-Hao CHEN, Yu-Yu CHEN, Hsin-Yi TSAI
  • Patent number: 8048811
    Abstract: By forming a hardmask layer in combination with one or more cap layers, undue exposure of a sensitive dielectric material to resist stripping etch ambients may be reduced and integrity of the hardmask may also be maintained so that the trench etch process may be performed with a high degree of etch selectivity during the patterning of openings in a metallization layer of a semiconductor device.
    Type: Grant
    Filed: January 16, 2009
    Date of Patent: November 1, 2011
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Frank Feustel, Thomas Werner, Juergen Boemmels
  • Publication number: 20110263127
    Abstract: A system and method for improving the performance of an integrated circuit by lowering RC delay time is provided. A preferred embodiment comprises adding a reactive etch gas to the ash/flush plasma process following a low-k dielectric etch. The illustrative embodiments implement a removal of the damage layer that is formed during a low-k dielectric etch.
    Type: Application
    Filed: July 8, 2011
    Publication date: October 27, 2011
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Hao Chen, Chia-Cheng Chou, Ming-Chung Liang, Keng-Chu Lin, Tzu-Li Lee
  • Patent number: 8043973
    Abstract: A method of forming IC devices includes providing a substrate and forming a patterned masking layer including at least one masked region having at least one masking layer, and a feature region bounded by the masking layer. Etching forms an etched feature in the substrate, wherein undercutting during the etching forms at least one mask overhang region over a surface portion of the etched feature that is recessed relative to an outer edge of the masking layer. A pullback etch process exclusive of any additional patterning step laterally etches the masking layer. The conditions for the pullback etch retain at least a portion of the masking layer and reduce a length of the mask overhang region by at least 50%, or eliminate the mask overhang region entirely. The etched feature is then filled after the pullback etch process to form a filled etched feature.
    Type: Grant
    Filed: May 15, 2009
    Date of Patent: October 25, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Brian Goodlin, Thomas D Bonifield
  • Patent number: 8034723
    Abstract: A film deposition apparatus for depositing a film on a substrate by performing a cycle of alternately supplying at least two kinds of reaction gases that react with each other on the substrate to produce a layer of a reaction product in a vacuum chamber is disclosed. The film deposition apparatus includes a ring-shaped locking member that may be provided in or around a wafer receiving portion of a turntable in which the substrate is placed, in order to keep the substrate in the substrate receiving portion.
    Type: Grant
    Filed: December 21, 2010
    Date of Patent: October 11, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Yukio Ohizumi, Manabu Honma
  • Patent number: 8034683
    Abstract: A method of forming a phase change material layer includes preparing a substrate having an insulator and a conductor, loading the substrate into a process housing, injecting a deposition gas into the process housing to selectively form a phase change material layer on an exposed surface of the conductor, and unloading the substrate from the process housing, wherein a lifetime of the deposition gas in the process housing is shorter than a time the deposition gas takes to react by thermal energy.
    Type: Grant
    Filed: April 20, 2007
    Date of Patent: October 11, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Lae Cho, Choong-Man Lee, Jin-Il Lee, Sang-Wook Lim, Hye-Young Park, Young-Lim Park
  • Publication number: 20110244689
    Abstract: A method of manufacturing a semiconductor device includes forming a first mask pattern on a substrate by using a material including a polymer having a protection group de-protectable by an acid, the first mask pattern having a plurality of holes; forming a capping layer on an exposed surface of the first mask pattern, the capping layer including an acid source; diffusing the acid source into the first mask pattern so that the protection group becomes de-protectable from the polymer in the first mask pattern; forming a second mask layer on the capping layer, the second mask layer separate from the first mask pattern and filling the plurality of holes in the first mask pattern; and forming a plurality of second mask patterns in the plurality of holes by removing the capping layer and the first mask pattern.
    Type: Application
    Filed: March 31, 2011
    Publication date: October 6, 2011
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: So-ra Han, Yool Kang, Seong-ho Moon, Kyung-hwan Yoon, Hyoung-hee Kim, Seong-woon Choi, Seok-hwan Oh
  • Patent number: 8008161
    Abstract: A method for fabricating a capacitor arrangement which includes at least three electrodes is described. The capacitor arrangement is fabricated using a number of lithography methods that is smaller than the number of electrodes. A capacitor arrangement extending over more than two or more interlayers between metallization layers has a high capacitance per unit area and can be fabricated in a simple way is also described. The circuit arrangement has a high capacitance per unit area and can be fabricated in a simple way. An electrode layer is first patterned using a dry-etching process and residues of the electrode layer are removed using a wet-chemical process, making it possible to fabricate capacitors with excellent electrical properties.
    Type: Grant
    Filed: June 20, 2005
    Date of Patent: August 30, 2011
    Assignee: Infineon Technologies AG
    Inventors: Jens Bachmann, Bernd Föste, Klaus Goller, Jakob Kriz