Complementary Field-effect Transistors, E.g., Cmos (epo) Patents (Class 257/E21.632)
  • Publication number: 20130102117
    Abstract: One embodiment relates to a method of semiconductor manufacture. In this method, a strain inducing layer is formed over a p-type field effect transistor structure and an n-type field effect transistor structure. The strain inducing layer is removed from over the p-type field effect transistor while the strain inducing layer over the n-type field effect transistor is left in place. A treatment of the strain inducing layer over the n-type field effect transistor is performed after the strain-inducing layer has been removed from over the p-type field effect transistor.
    Type: Application
    Filed: October 21, 2011
    Publication date: April 25, 2013
    Applicant: Taiwan Semiconductor Manufacturing Co. Ltd.
    Inventor: Kai-Shiung Hsu
  • Patent number: 8426922
    Abstract: A CMOS structure includes a PMOS portion and an NMOS portion isolated from each other via a P-well region disposed next to the PMOS portion and an N-well region disposed between the P-well region and the NMOS portion, an insulation layer overlying at least the N-well region, and a pad structure disposed over the N-well region. The pad structure further includes: a pad body disposed on the insulation layer; and at least one contact plug penetrating through the insulation layer, having one end coupled to the pad body and the other end coupled to a contact zone in the N-well region; wherein the contact zone is interfaced with the N-well region with P-type dopants.
    Type: Grant
    Filed: October 15, 2010
    Date of Patent: April 23, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Fang-Mei Chao, Ming-I Chen, Ying-Ko Chin, Yi-Chiao Wang
  • Patent number: 8420473
    Abstract: A method of simultaneously fabricating n-type and p type field effect transistors can include forming a first replacement gate having a first gate metal layer adjacent a gate dielectric layer in a first opening in a dielectric region overlying a first active semiconductor region. A second replacement gate including a second gate metal layer can be formed adjacent a gate dielectric layer in a second opening in a dielectric region overlying a second active semiconductor region. At least portions of the first and second gate metal layers can be stacked in a direction of their thicknesses and separated from each other by at least a barrier metal layer. The NFET resulting from the method can include the first active semiconductor region, the source/drain regions therein and the first replacement gate, and the PFET resulting from the method can include the second active semiconductor region, source/drain regions therein and the second replacement gate.
    Type: Grant
    Filed: December 6, 2010
    Date of Patent: April 16, 2013
    Assignee: International Business Machines Corporation
    Inventors: Takashi Ando, Michael P. Chudzik, Siddarth A. Krishnan, Unoh Kwon, Vijay Narayanan
  • Publication number: 20130087856
    Abstract: A CMOS structure is formed on a semiconductor substrate that includes first and second regions having an nFET and a pFET respectively formed thereon. Each nFET and pFET device is provided with a gate, a source and drain, and a channel formed on the substrate. A high permittivity dielectric layer formed on top of the channel is superimposed to the permittivity dielectric layer. The pFET gate includes a thick metal nitride alloy layer or rich metal nitride alloy or carbon metal nitride layer that provides a controlled WF. Superimposed to the permittivity dielectric layer, the nFET gate is provided with a thin metal nitride alloy layer, enabling to control the WF. A metal deposition is formed on top of the respective nitride layers. The gate last approach characterized by having a high thermal budget smaller than 500° C. used for post metal deposition, following the dopant activation anneal.
    Type: Application
    Filed: October 5, 2011
    Publication date: April 11, 2013
    Applicant: International Business Machines Corporation
    Inventors: Claude Ortolland, Unoh Kwon, Kota V.R.M. Murali, Edward J. Nowak, Rajan Kumar Pandey
  • Patent number: 8415723
    Abstract: A spacer structure contains a carbon-containing oxide film positioned on a gate sidewall and a nitride film covering the carbon-containing oxide film. The carbon-containing oxide film has low etch rate so that the spacer structure can have a good profile during etching the carbon-containing oxide film.
    Type: Grant
    Filed: June 7, 2012
    Date of Patent: April 9, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Po-Lun Cheng, Che-Hung Liu
  • Patent number: 8415212
    Abstract: A method and apparatus are described for fabricating metal gate electrodes (85, 86) over a high-k gate dielectric layer (32) having a rare earth oxide capping layer (44) in at least the NMOS device area by treating the surface of a rare earth oxide capping layer (44) with an oxygen-free plasma process (42) to improve photoresist adhesion, forming a patterned photoresist layer (52) directly on the rare earth oxide capping layer (44), and then applying a wet etch process (62) to remove the exposed portion of the rare earth oxide capping layer (44) from the PMOS device area.
    Type: Grant
    Filed: March 11, 2010
    Date of Patent: April 9, 2013
    Assignee: Freescale Semiconductor, Inc.
    Inventors: James K. Schaeffer, Eric D. Luckowski, Todd C. Bailey, Amy L. Child, Daniel Jaeger, Renee Mo, Ying H. Tsang
  • Publication number: 20130082330
    Abstract: A vertically stacked, planar junction Zener diode is concurrently formed with epitaxially grown FET raised S/D terminals. The structure and process of the Zener diode are compatible with Gate-Last high-k FET structures and processes. Lateral separation of diode and transistor structures is provided by modified STI masking. No additional photolithography steps are required. In some embodiments, the non junction face of the uppermost diode terminal is silicided with nickel to additionally perform as a copper diffusion barrier.
    Type: Application
    Filed: September 30, 2011
    Publication date: April 4, 2013
    Applicant: Broadcom Corporation
    Inventors: Wei Xia, Xiangdong Chen
  • Patent number: 8410480
    Abstract: The present invention discloses a CMOS-MEMS cantilever structure. The CMOS-MEMS cantilever structure includes a substrate, a circuit structure, and a cantilever beam. The substrate has a circuit area and a sensor unit area defined thereon. The circuit structure is formed in the circuit area. The cantilever beam is disposed in the sensor unit area with one end floating above the substrate and the other end connecting to the circuit structure. With the above arrangement, the manufacturing process of CMOS-MEMS cantilever structure of this invention can be simplified. Furthermore, the structure of the cantilever beam is thinned down and therefore has a higher sensitivity.
    Type: Grant
    Filed: February 19, 2010
    Date of Patent: April 2, 2013
    Assignee: National Chip Implementation Center National Applied Research Laboratories
    Inventors: Chin-Fong Chiu, Ying Zong Juang, Hann Huei Tsai, Sheng-Hsiang Tseng, Chen-Fu Lin
  • Publication number: 20130075826
    Abstract: A semiconductor device with a metal gate is disclosed. The device includes a semiconductor substrate including a plurality of source and drain features to form a p-channel and an n-channel. The device also includes a gate stack over the semiconductor substrate and disposed between the source and drain features. The gate stack includes a high-k (HK) dielectric layer formed over the semiconductor substrate. A tensile stress HK capping layer is formed on top of the HK dielectric layer in close proximity to the p-channel, and a compressive stress HK N-work function (N-WF) metal layer is formed on top of the HK dielectric layer in close proximity to the n-channel. A stack of metal gate layers is deposited over the capping layers.
    Type: Application
    Filed: September 22, 2011
    Publication date: March 28, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Jeff J. Xu
  • Patent number: 8404533
    Abstract: A method for fabricating metal gate transistor is disclosed. The method includes the steps of: providing a substrate, wherein the substrate comprises a transistor region defined thereon; forming a gate insulating layer on the substrate; forming a stacked film on the gate insulating layer, wherein the stacked film comprises at least one etching stop layer, a polysilicon layer, and a hard mask; patterning the gate insulating layer and the stacked film for forming a dummy gate on the substrate; forming a dielectric layer on the dummy gate; performing a planarizing process for partially removing the dielectric layer until reaching the top of the dummy gate; removing the polysilicon layer of the dummy gate; removing the etching stop layer of the dummy gate for forming an opening; and forming a conductive layer in the opening for forming a gate.
    Type: Grant
    Filed: August 23, 2010
    Date of Patent: March 26, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Cheng-Yu Ma, Wen-Han Hung, Ta-Kang Lo, Tsai-Fu Chen, Tzyy-Ming Cheng
  • Publication number: 20130069164
    Abstract: A novel semiconductor transistor is presented. The semiconductor structure has a MOSFET like structure, with the difference that the device channel is formed in an intrinsic region, so as to effectively decrease the impurity and surface scattering phenomena deriving from a high doping profile typical of conventional MOS devices. Due to the presence of the un-doped channel region, the proposed structure greatly reduces Random Doping Fluctuation (RDF) phenomena decreasing the threshold voltage variation between different devices. In order to control the threshold voltage of the device, a heavily doped poly-silicon or metallic gate is used. However, differently from standard CMOS devices, a high work-function metallic material, or a heavily p-doped poly-silicon layer, is used for a n-channel device and a low work-function metallic material, or heavily n-doped poly-silicon layer, is used for a p-channel FET.
    Type: Application
    Filed: September 19, 2011
    Publication date: March 21, 2013
    Inventors: Fabio Alessio Marino, Paolo Menegoli
  • Patent number: 8399318
    Abstract: The present invention provides a method of integrating an electrical fuse process into a high-k/metal gate process. The method simultaneously forms a dummy gate stack of a transistor and a dummy gate stack of an e-fuse; and simultaneously removes the polysilicon of the dummy gate stack in the transistor region and the polysilicon of the dummy gate stack in the e-fuse region. Thereafter, the work function metal layer disposed in the opening of the e-fuse region is removed; and the opening in the transistor region and the opening in the e-fuse region with metal conductive structures are filled to form an e-fuse and a metal gate of a transistor.
    Type: Grant
    Filed: May 10, 2012
    Date of Patent: March 19, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Yung-Chang Lin, Kuei-Sheng Wu, Chang-Chien Wong
  • Publication number: 20130063329
    Abstract: A purpose of the present invention is to reduce the driving voltage of a semiconductor device that includes an n-type TFT and a p-type TFT. Disclosed is a semiconductor device in which an n-channel type first thin film transistor (100) and a p-channel type second thin film transistor (200) are provided on the plane of a substrate (1). A first semiconductor layer (11) of the first thin film transistor (100) has a main portion, which is sandwiched between the upper surface and the lower surface of the first semiconductor layer (11), and an slanted portion, which is sandwiched by the side face and the lower surface of the first semiconductor layer (11). A second semiconductor layer (20) has a main portion, which is sandwiched between the upper surface and the lower surface of the second semiconductor layer (20), and a slanted portion, which is sandwiched between the side face and the lower surface of the second semiconductor layer (20).
    Type: Application
    Filed: February 10, 2011
    Publication date: March 14, 2013
    Applicant: SHARP KABUSHIKI KAISHA
    Inventors: Hajime Saitoh, Naoki Makita
  • Publication number: 20130062703
    Abstract: An electronic device can include a nonvolatile memory cell, wherein the nonvolatile memory cell can include a substrate, an access transistor, a read transistor, and an antifuse component. Each of the access and read transistors can include source/drain regions at least partly within the substrate, a gate dielectric layer overlying the substrate, and a gate electrode overlying the gate dielectric layer. An antifuse component can include a first electrode lying at least partly within the substrate, an antifuse dielectric layer overlying the substrate, and a second electrode overlying the antifuse dielectric layer. The second electrode of the antifuse component can be coupled to one of the source/drain regions of the access transistor and to the gate electrode of the read transistor. In an embodiment, the antifuse component can be in the form of a transistor structure. The electronic device can be formed using a single polysilicon process.
    Type: Application
    Filed: September 14, 2011
    Publication date: March 14, 2013
    Inventors: Moshe Agam, Thierry Coffi Herve Yao, Shizen Skip Liu
  • Patent number: 8395252
    Abstract: An apparatus for packaging MEMS and ICs can include a semiconductor substrate, one or more MEMS devices, an enclosure, and one or more bonding structures. The semiconductor substrate can be bonded to a portion of the surface region. The semiconductor substrate can include one or more integrated circuits. Also, the semiconductor substrate can have an upper surface region. The one or more MEMS devise can overlie an inner region of the upper surface region formed by the semiconductor substrate. The enclosure can house the one or more MEMS devices. The enclosure can overlie a first outer region of the upper surface region. Also, the enclosure can have an upper cover region. The one or more bonding structures can be provided within a second outer region of the supper surface region.
    Type: Grant
    Filed: November 12, 2010
    Date of Patent: March 12, 2013
    Assignee: mCube Inc.
    Inventor: Xiao “Charles” Yang
  • Patent number: 8394692
    Abstract: A method is provided that includes providing a substrate; forming a transistor in the substrate, the transistor having a dummy gate; forming a dielectric layer over the substrate and transistor; forming a contact feature in the dielectric layer; and after forming the contact feature, replacing the dummy gate of the transistor with a metal gate. An exemplary contact feature is a dual contact.
    Type: Grant
    Filed: November 1, 2011
    Date of Patent: March 12, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chiung-Han Yeh, Ming-Yuan Wu, Kong-Beng Thei, Harry Chuang, Mong-Song Liang
  • Patent number: 8394693
    Abstract: A semiconductor device includes a first MISFET having a first conduction type channel and formed on a semiconductor substrate; a second MISFET having a second conduction type channel and formed on the semiconductor substrate; a first strain film having a first sign strain that covers a region where the second MISFET is disposed; and a second strain film having a second sign strain that covers a region where the first MISFET is disposed. In the semiconductor device, an edge of the second strain film closer to the second MISFET overlaps with part of the first strain film; and the second strain film at a portion where the second strain film overlaps with the first strain film and at a portion extending from the portion, is thinner than the second strain film at a portion that covers the first MISFET.
    Type: Grant
    Filed: January 20, 2012
    Date of Patent: March 12, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Kazushi Fujita
  • Patent number: 8395255
    Abstract: A semiconductor device includes: a cooling function component including an active region made of an impurity region and formed on a surface of a semiconductor layer, an N-type gate made of a semiconductor including an N-type impurity, a P-type gate made of a semiconductor including a P-type impurity, a first metal wiring connected to the N-type gate, the P-type gate and the active region, a second metal wiring connected to the P-type gate and the N-type gate, and a heat releasing portion connected to the second metal wiring for releasing heat to the outside.
    Type: Grant
    Filed: January 5, 2011
    Date of Patent: March 12, 2013
    Assignee: Sony Corporation
    Inventor: Rui Morimoto
  • Patent number: 8389996
    Abstract: A method for forming a SnO-containing semiconductor film includes a first step of forming a SnO-containing film; a second step of forming an insulator film composed of an oxide or a nitride on the SnO-containing film to provide a laminated film including the SnO-containing film and the insulator film; and a third step of subjecting the laminated film to a heat treatment.
    Type: Grant
    Filed: March 1, 2010
    Date of Patent: March 5, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventors: Hisato Yabuta, Nobuyuki Kaji, Ryo Hayashi
  • Patent number: 8390077
    Abstract: A semiconductor device includes a semiconductor substrate having a first portion and a second portion and a first transistor of a first type formed in the first portion of the substrate, the first transistor being operable at a first voltage, and the first transistor including a doped channel region of a second type opposite of the first type. The semiconductor device also includes a second transistor of the second type formed in the second portion of the substrate, the second transistor being operable at a second voltage greater than the first voltage, the second transistor including an extended doped feature of the second type. Further, the semiconductor device includes a well of the first type in the semiconductor substrate under a gate of the second transistor, wherein the well does not extend directly under the extended doped feature and the extended doped feature does not extend directly under the well.
    Type: Grant
    Filed: July 30, 2012
    Date of Patent: March 5, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jan Sonsky, Anco Heringa
  • Publication number: 20130049126
    Abstract: In one example, a method disclosed herein includes forming a gate electrode structure for a PMOS transistor and a gate electrode structure for a NMOS transistor, forming a plurality of cavities in the substrate proximate the gate electrode structure of the PMOS transistor and performing an epitaxial deposition process to form raised silicon-germanium regions is the cavities. The method concludes with the step of performing a common etching process on the PMOS transistor and the NMOS transistor to define recessed regions in the substrate proximate the gate electrode structure of the NMOS transistor and to reduce the amount of the silicon-germanium material positioned above the surface of the substrate for the PMOS transistor.
    Type: Application
    Filed: August 24, 2011
    Publication date: February 28, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Stefan Flachowsky, Ralf Illgen, Thilo Scheiper, Ricardo P. Mikalo
  • Publication number: 20130049119
    Abstract: The present invention provides a multi-working voltages CMOS device with single gate oxide layer thickness, gate work functions of CMOS transistors are regulated by implanting ions with different work functions into metal oxide dielectric material layers of the CMOS transistors, thus to realize different flat-band voltages under the condition of single dielectric layer thickness, and realize a multi-working voltages CMOS structure under the condition of single dielectric layer thickness. The present invention overcomes the process complexity of multiple kinds of gate dielectric layer thicknesses needed by traditional multi-working voltages CMOS, simplifies the CMOS process, makes the manufacturing procedure simple and easy to execute, reduces the preparation cost and is suitable for industrial production.
    Type: Application
    Filed: December 29, 2011
    Publication date: February 28, 2013
    Applicant: SHANGHAI HUALI MICROELECTRONICS CORPORATION
    Inventors: Xiaolu HUANG, Gang MAO, Yuwen CHEN, Xinyun XIE
  • Publication number: 20130049106
    Abstract: The present invention provides a bidirectional semiconductor device including a semiconductor substrate having a first conductive type, a first doped base region and a second doped base region having a second conductive type, and a gate insulating layer. The semiconductor substrate has a first trench, and the first doped base region and the second doped base region are respectively disposed in the semiconductor substrate at two sides of the first trench. The gate insulating layer covers a surface of the first trench, and the gate insulating layer has a first part adjacent to the first doped base region, a second part adjacent to the second doped base region, and a third part disposed at a corner between a bottom and a sidewall of the first trench. A thickness of the first part and a thickness of the second part are less than a thickness of the third part.
    Type: Application
    Filed: June 14, 2012
    Publication date: February 28, 2013
    Inventor: Wei-Chieh Lin
  • Patent number: 8383444
    Abstract: A method is provided for determining a color using a CMOS image sensor. The CMOS image sensor includes an n-type substrate and a p-type epitaxy layer overlying the n-type substrate. The method includes applying a first voltage on the n-type substrate and obtaining a first output, which is associated with the first voltage. The method further includes applying a second voltage on the n-type substrate and obtaining a second output, which is associated with the second voltage. The method additionally includes applying a third voltage on the n-type substrate and obtaining a third output, which is associated with the third voltage. The method also includes providing a plurality of weighting factors and determining the color based on the plurality of weighting factors, the first output, the second output, and the third output.
    Type: Grant
    Filed: November 23, 2010
    Date of Patent: February 26, 2013
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Hong Zhu, Jim Yang
  • Publication number: 20130043539
    Abstract: The present disclosure provides a method of making an integrated circuit. The method includes forming a gate stack on a semiconductor substrate; forming a stressed contact etch stop layer (CESL) on the gate stack and on the semiconductor substrate; forming a first dielectric material layer on the stressed CESL using a high aspect ratio process (HARP) at a deposition temperature greater than about 440 C to drive out hydroxide (OH) group; forming a second dielectric material layer on the first dielectric material layer; etching to form contact holes in the first and second dielectric material layers; filling the contact holes with a conductive material; and performing a chemical mechanical polishing (CMP) process.
    Type: Application
    Filed: August 18, 2011
    Publication date: February 21, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Jen-Chi Chang, Chun-Li Lin, Kai-Shiung Hsu, Ming-Shiou Kuo, Wen-Long Lee, Po-Hsiung Leu, Ding-I Liu
  • Publication number: 20130045577
    Abstract: The present invention discloses a manufacturing method of a high voltage device. The high voltage device is formed in a first conductive type substrate. The high-voltage device includes: a second conductive type buried layer; a first conductive type high voltage well; and a second conductive type body. The high voltage well is formed by the same step for forming a first conductive type well or a first conductive type channel stop layer of a low voltage device formed in the same substrate. The body is formed by the same step for forming a second conductive type well of the low voltage device.
    Type: Application
    Filed: October 21, 2011
    Publication date: February 21, 2013
    Inventors: Tsung-Yi Huang, Yuh-Chyuan Wang
  • Patent number: 8377768
    Abstract: A group of high-performance like-polarity insulated-gate field-effect transistors (100, 108, 112, 116, 120, and 124 or 102, 110, 114, 118, 122, and 126) have selectably different configurations of lateral source/drain extensions, halo pockets, and gate dielectric thicknesses suitable for a semiconductor fabrication platform that provides a wide variety of transistors for analog and/or digital applications. Each transistor has a pair of source/drain zones, a gate dielectric layer, and a gate electrode. Each source/drain zone includes a main portion and a more lightly doped lateral extension. The lateral extension of one of the source/drain zones of one of the transistors is more heavily doped or/and extends less deeply below the upper semiconductor surface than the lateral extension of one of the source/drain zones of another of the transistors.
    Type: Grant
    Filed: November 9, 2011
    Date of Patent: February 19, 2013
    Assignee: National Semiconductor Corporation
    Inventors: Constantin Bulucea, William D. French, Donald M. Archer, Jeng-Jiun Yang, Sandeep R. Bahl, D. Courtney Parker
  • Patent number: 8378430
    Abstract: Transistors are provided including first and second source/drain regions, a channel region and a gate stack having a first gate dielectric over a substrate, the first gate dielectric having a dielectric constant higher than a dielectric constant of silicon dioxide, and a metal material in contact with the first gate dielectric, the metal material being doped with an inert element. Integrated circuits including the transistors and methods of forming the transistors are also provided.
    Type: Grant
    Filed: February 12, 2010
    Date of Patent: February 19, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Cancheepuram V. Srividya, Suraj Mathew, Dan Gealy
  • Patent number: 8377769
    Abstract: A method for integrating a replacement gate in a semiconductor device is disclosed.
    Type: Grant
    Filed: August 2, 2011
    Date of Patent: February 19, 2013
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Gaobo Xu, Qiuxia Xu
  • Publication number: 20130037886
    Abstract: A semiconductor device includes a semiconductor substrate, at least a first fin structure, at least a second fin structure, a first gate, a second gate, a first source/drain region and a second source/drain region. The semiconductor substrate has at least a first active region to dispose the first fin structure and at least a second active region to dispose the second fin structure. The first/second fin structure partially overlapped by the first/second gate has a first/second stress, and the first stress and the second stress are different from each other. The first/second source/drain region is disposed in the first/second fin structure at two sides of the first/second gate.
    Type: Application
    Filed: August 10, 2011
    Publication date: February 14, 2013
    Inventors: Teng-Chun Tsai, Chun-Yuan Wu, Chih-Chien Liu, Chin-Cheng Chien, Chin-Fu Lin
  • Publication number: 20130037822
    Abstract: A semiconductor device and its manufacturing method are provided. The semiconductor device comprises: a semiconductor substrate of a first semiconductor material, a gate structure on the semiconductor substrate, a crystal lattice dislocation line in a channel under the gate structure for generating channel stress, wherein the crystal lattice dislocation line being at an angle to the channel.
    Type: Application
    Filed: November 25, 2011
    Publication date: February 14, 2013
    Inventors: Huaxiang Yin, Qiuxia Xu, Dapeng Chen
  • Publication number: 20130034940
    Abstract: A method of forming a semiconductor structure. The semiconductor structure has a semiconductor substrate and an nFET and a pFET disposed upon the substrate. The pFET has a semiconductor SiGe channel region formed upon or within a surface of the semiconductor substrate and a gate dielectric having an oxide layer overlying the channel region and a high-k dielectric layer overlying the oxide layer. A gate electrode overlies the gate dielectric and has a lower metal layer abutting the high-k layer, a scavenging metal layer abutting the lower metal layer, and an upper metal layer abutting the scavenging metal layer. The metal layer scavenges oxygen from the substrate (nFET) and SiGe (pFET) interface with the oxide layer resulting in an effective reduction in Tinv and Vt of the pFET, while scaling Tinv and maintaining Vt for the nFET, resulting in the Vt of the pFET becoming closer to the Vt of a similarly constructed nFET with scaled Tinv values.
    Type: Application
    Filed: September 28, 2012
    Publication date: February 7, 2013
    Applicant: International Business Machines Corporation
    Inventor: International Business Machines Corporation
  • Publication number: 20130032886
    Abstract: A structure has a semiconductor substrate and an nFET and a pFET disposed upon the substrate. The pFET has a semiconductor SiGe channel region formed upon or within a surface of the semiconductor substrate and a gate dielectric having an oxide layer overlying the channel region and a high-k dielectric layer overlying the oxide layer. A gate electrode overlies the gate dielectric and has a lower metal layer abutting the high-k layer, a scavenging metal layer abutting the lower metal layer, and an upper metal layer abutting the scavenging metal layer. The metal layer scavenges oxygen from the substrate (nFET) and SiGe (pFET) interface with the oxide layer resulting in an effective reduction in Tinv and Vt of the pFET, while scaling Tiny and maintaining Vt for the nFET, resulting in the Vt of the pFET becoming closer to the Vt of a similarly constructed nFET with scaled Tinv values.
    Type: Application
    Filed: August 1, 2011
    Publication date: February 7, 2013
    Applicant: International Business Machines Corporation
    Inventors: Takashi Ando, Changhwan Choi, Martin M. Frank, Unoh Kwon, Vijay Narayanan
  • Publication number: 20130032890
    Abstract: CMOS devices (60, 61, 61?) having improved latch-up robustness are provided by including with one or both WELL regions (22, 29) underlying the source-drains (24, 25; 31, 32) and the body contacts (27, 34), one or more further regions (62, 62?, 62-2) doped with deep acceptors or deep donors (or both) of the same conductivity type as the corresponding WELL region and whose ionization substantially increases as operating temperature increases. The increase in conductivity exhibited by these further regions as a result of the increasing ionization of the deep acceptors or donors off-sets, in whole or part, the temperature driven increase in gain of the parasitic NPN and/or PNP bipolar transistors inherent in prior art CMOS structures. By clamping or lowering the gain of the parasitic bipolar transistors, the CMOS devices (60, 61, 61?) are less likely to go into latch-up with increasing operating temperature.
    Type: Application
    Filed: August 3, 2011
    Publication date: February 7, 2013
    Inventors: Yanxiang Liu, Xiaodong Yang, Gan Wang
  • Patent number: 8367509
    Abstract: A method for forming a contact of a semiconductor device with reduced step height is disclosed, comprising forming a plurality of gates, forming a buffer layer on each of the gates, forming an insulating layer to fill spaces between the gates, forming strip-shaped photoresist patterns which cross the gates, etching the insulating layer to form first openings using a self-aligning process with the gates and the strip-shaped photoresist patterns as a mask, forming a conductive contact layer to fill the first openings, performing a first chemical mechanical polish (CMP) process to the conductive contact layer, removing the buffer layer, and forming a second chemical mechanical polish (CMP) process to the conductive contact layer.
    Type: Grant
    Filed: September 21, 2011
    Date of Patent: February 5, 2013
    Assignee: Nanya Technology Corporation
    Inventors: Jeng-Hsing Jang, Yi-Nan Chen, Hsien-Wen Liu
  • Patent number: 8362528
    Abstract: A logic switch intentionally utilizes GIDL current as its primary mechanism of operation. Voltages may be applied to a doped gate overlying and insulated from a pn junction. A first voltage initiates GIDL current, and the logic switch is bidirectionally conductive. A second voltage terminates GIDL current, but the logic switch is unidirectionally conductive. A third voltage renders the logic switch bidirectionally non-conductive. Circuits containing the logic switch are also described. These circuits include inverters, SRAM cells, voltage reference sources, and neuron logic switches. The logic switch is primarily implemented according to SOI protocols, but embodiments according to bulk protocols are described.
    Type: Grant
    Filed: November 3, 2009
    Date of Patent: January 29, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Min-Hwa Chi
  • Patent number: 8362553
    Abstract: A method includes forming elongate structures on a first substrate, such that the material composition of each elongate structure varies along its length so as to define first and second physically different sections in the elongate structures. First and second physically different devices are then defined in the elongate structures. Alternatively, the first and second physically different sections may be defined in the elongate structures after they have been fabricated. The elongate structures may be encapsulated and transferred to a second substrate. The invention provides an improved method for the formation of a circuit structure that requires first and second physically different devices to be provided on a common substrate. In particular, only one transfer step is necessary.
    Type: Grant
    Filed: April 12, 2011
    Date of Patent: January 29, 2013
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Thomas Heinz-Helmut Altebaeumer, Stephen Day, Jonathan Heffernan
  • Patent number: 8361858
    Abstract: The growth rate in a selective epitaxial growth process for depositing a threshold adjusting semiconductor alloy, such as a silicon/germanium alloy, may be enhanced by performing a plasma-assisted etch process prior to performing the selective epitaxial growth process. For example, a mask layer may be patterned on the basis of the plasma-assisted etch process, thereby simultaneously providing superior device topography during the subsequent growth process. Hence, the threshold adjusting material may be deposited with enhanced thickness uniformity, thereby reducing overall threshold variability.
    Type: Grant
    Filed: January 25, 2010
    Date of Patent: January 29, 2013
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Stephan Kronholz, Andreas Naumann, Gunda Beernink
  • Patent number: 8361871
    Abstract: A static random-access memory circuit includes at least one access device including source and drain sections for a pass region, at least one pull-up device and at least one pull-down device including source-and-drain sections for a pull-down region. The static random-access memory circuit is configured with external resistivity (Rext) for the pull-down region to be lower than Rext for the pass region. Processes of achieving the static random-access memory circuit include source-and-drain epitaxy.
    Type: Grant
    Filed: December 24, 2008
    Date of Patent: January 29, 2013
    Assignee: Intel Corporation
    Inventors: Ravi Pillarisetty, Willy Rachmady, Brian Doyle, Robert S. Chau
  • Publication number: 20130020717
    Abstract: An embodiment of the disclosure includes a method of forming a semiconductor structure. A substrate has a region adjacent to a shallow trench isolation (STI) structure in the substrate. A patterned mask layer is formed over the substrate. The patterned mask layer covers the STI structure and a portion of the region, and leaves a remaining portion of the region exposed. A distance between an edge of the remaining portion and an edge of the STI structure is substantially longer than 1 nm. The remaining portion of the region is etched thereby forms a recess in the substrate. A stressor is epitaxially grown in the recess. A conductive plug contacting the stressor is formed.
    Type: Application
    Filed: July 22, 2011
    Publication date: January 24, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Mei-Hsuan LIN, Chih-Hsun LIN, Chih-Kang CHAO, Ling-Sung WANG
  • Patent number: 8357575
    Abstract: In a replacement gate approach, the sacrificial gate material is exposed on the basis of enhanced process uniformity, for instance during a wet chemical etch step or a CMP process, by forming a modified portion in the interlayer dielectric material by ion implantation. Consequently, the damaged portion may be removed with an increased removal rate while avoiding the creation of polymer contaminants when applying an etch process or avoiding over-polish time when applying a CMP process.
    Type: Grant
    Filed: July 16, 2012
    Date of Patent: January 22, 2013
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Klaus Hempel, Patrick Press, Vivien Schroeder, Berthold Reimer, Johannes Groschopf
  • Patent number: 8357574
    Abstract: A method for fabricating an integrated device is disclosed. The disclosed method provides improved formation selectivity of epitaxial films over a pre-determined region designed for forming an epi film and a protective layer preferred not to form an epi, polycrystalline, or amorphous film thereon during an epi film formation process. In an embodiment, the improved formation selectivity is achieved by providing a nitrogen-rich protective layer to decrease the amount of growth epi, polycrystalline, or amorphous film thereon.
    Type: Grant
    Filed: October 14, 2010
    Date of Patent: January 22, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Hsi Yeh, Hsien-Hsin Lin, Hui Ouyang, Chi-Ming Yang
  • Publication number: 20130015525
    Abstract: An apparatus and a method for creating a CMOS with a dual raised source and drain for NMOS and PMOS. The spacers on both stack gates are of equal thickness. In this method, a first insulating layer is formed on the surface. The first region is then masked while the other region has the first layer etched away and has an epitaxial source and drain grown on the region. A second layer is formed to all exposed surfaces. The second region is then masked while the first region is etched away. The epitaxial source and drain is formed on the first region. The second region can also be masked by adding a thin layer of undoped silicon and then oxidize it. Another way to mask the second region is to use a hard mask. Another way to form the second source and drain is to use amorphous material.
    Type: Application
    Filed: July 11, 2011
    Publication date: January 17, 2013
    Applicant: International Business Machines Corporation
    Inventors: Kangguo Cheng, Bruce B. Doris, Ali Khakifirooz, Balasubramanian S. Haran
  • Publication number: 20130015448
    Abstract: A semiconductor device, disposed on a substrate, includes a first channel layer, a patterned doped layer, a gate insulating layer, a conducting gate electrode, a second channel layer, a first electrode and a second electrode, and a third electrode and a fourth electrode. The first channel layer is disposed on the substrate and in a first region. The patterned doped layer includes a doped gate electrode disposed in a second region, and two contact electrodes electrically connected to two sides of the first channel layer, respectively. The conducting gate electrode is disposed on the gate insulating layer in the first region. The second channel layer is disposed on the gate insulating layer in the second region. The first electrode and the second electrode are electrically connected to the contact electrodes, respectively. The third electrode and the fourth electrode are electrically connected to two sides of the second channel layer, respectively.
    Type: Application
    Filed: March 19, 2012
    Publication date: January 17, 2013
    Inventors: Chao-Shun Yang, Hsing-Hung Hsieh
  • Patent number: 8354313
    Abstract: In one embodiment, the method for forming a complementary metal oxide semiconductor (CMOS) device includes providing a semiconductor substrate including a first device region and a second device region. An n-type conductivity semiconductor device is formed in one of the first device region or the second device region using a gate structure first process, in which the n-type conductivity semiconductor device includes a gate structure having an n-type work function metal layer. A p-type conductivity semiconductor device is formed in the other of the first device region or the second device region using a gate structure last process, in which the p-type conductivity semiconductor device includes a gate structure including a p-type work function metal layer.
    Type: Grant
    Filed: April 30, 2010
    Date of Patent: January 15, 2013
    Assignee: International Business Machines Corporation
    Inventors: Unoh Kwon, Dechao Guo, Siddarth A. Krishnan, Ramachandran Muralidhar
  • Publication number: 20130009251
    Abstract: A process of integrated circuit manufacturing includes providing (32, 33) a spacer on a gate stack to provide a horizontal offset over the channel region for otherwise-direct application (34) of a PLDD implant dose in semiconductor, additionally depositing (35) a seal substance to provide a screen thickness vertically while thereby augmenting the spacer on the gate stack to provide an increased offset horizontally from the gate stack and form a horizontal screen free of etch, and subsequently providing (36) an NLDD implant dose for NLDD formation. Various integrated circuit structures, devices, and other processes of manufacture, and processes of testing are also disclosed.
    Type: Application
    Filed: May 31, 2012
    Publication date: January 10, 2013
    Applicant: Texas Instruments Incorporated
    Inventor: Amitabh Jain
  • Patent number: 8350329
    Abstract: An electrostatic discharge (ESD) protection device for an integrated circuit includes a buried layer of a first polarity type formed in a substrate of a second polarity type. A well region of the second polarity type is formed above the buried layer. An FET of the first polarity type is formed within the well region. An inner pair of shallow wells of the first polarity type is disposed adjacent to source and drain diffusion regions of the FET, the inner pair of shallow wells having a depth such that a bottom of the inner pair of shallow wells is above a top of the buried layer. An outer pair of deep wells of the first polarity type extends down to the top of the buried layer such that the outer pair of deep wells and the buried layer define a perimeter of the well region of the second polarity type.
    Type: Grant
    Filed: October 19, 2010
    Date of Patent: January 8, 2013
    Assignee: International Business Machines Corporation
    Inventors: John B. Campi, Jr., Shunhua T. Chang, Kiran V. Chatty, Robert J Gauthier, Jr., Junjun Li, Rahul Mishra, Mujahid Muhammad
  • Patent number: 8350333
    Abstract: A semiconductor device according to an embodiment includes: a semiconductor substrate; a resistance element of a first conductivity type formed in one region of the semiconductor substrate; a field effect transistor of a second conductivity type formed in another region of the semiconductor substrate; and a field effect transistor of the first conductivity type formed in still another region of the semiconductor substrate. The resistance element includes: an insulating film formed in an upper layer portion of the semiconductor substrate; and a well of the first conductivity type formed immediately below the insulating film, an impurity concentration at an arbitrary depth position in the well of the first conductivity is lower than an impurity concentration at the same depth position in a channel region of the field effect transistor of the second conductivity type.
    Type: Grant
    Filed: May 24, 2010
    Date of Patent: January 8, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hanae Ishihara, Mitsuhiro Noguchi
  • Publication number: 20130005095
    Abstract: A method to fabricate a structure includes providing a silicon-on-insulator wafer, implanting through a semiconductor layer and an insulating layer a functional region having a first type of conductivity to be adjacent to a top surface of the substrate; implanting within the functional region through the semiconductor layer and the insulating layer an electrically floating back gate region having a second type of conductivity; forming isolation regions in the semiconductor layer; forming first and second transistor devices to have the same type of conductivity over the semiconductor layer such that one of the transistor devices overlies the implanted back gate region and the other one of the transistor devices overlies only the underlying top surface of the functional region not overlapped by the implanted back gate region; and providing an electrical contact to the functional region for applying a bias voltage.
    Type: Application
    Filed: September 12, 2012
    Publication date: January 3, 2013
    Applicant: International Business Machines Corporation
    Inventors: Jin Cai, Robert H. Dennard, Ali Khakifirooz
  • Publication number: 20130005096
    Abstract: A semiconductor device comprises a substrate and first and second stress-generating epitaxial regions on the substrate and spaced apart from each other. A channel region is on the substrate and positioned between the first and second stress-generating epitaxial regions. A gate electrode is on the channel region. The channel region is an epitaxial layer, and the first and second stress-generating epitaxial regions impart a stress on the channel region.
    Type: Application
    Filed: February 8, 2012
    Publication date: January 3, 2013
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Heung-Kyu Park, Woo-Bin Song, Nam-Kyu Kim, Su-Jin Jung, Byeong-Chan Lee, Young-Pil Kim, Sun-Ghil Lee