Arrangements For Conducting Electric Current To Or From Solid-state Body In Operation, E.g., Leads, Terminal Arrangements (epo) Patents (Class 257/E23.01)
  • Publication number: 20130001788
    Abstract: Some embodiments include semiconductor processing methods in which a copper barrier is formed to be laterally offset from a copper component, and in which nickel is formed to extend across both the barrier and the component. The barrier may extend around an entire lateral periphery of the component, and may be spaced from the component by an intervening ring of electrically insulative material. The copper component may be a bond pad or an interconnect between two levels of metal layers. Some embodiments include semiconductor constructions in which nickel extends across a copper component, a copper barrier is laterally offset from the copper component, and an insulative material is between the copper barrier and the copper component.
    Type: Application
    Filed: September 13, 2012
    Publication date: January 3, 2013
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Tianhong Zhang, Akram Ditali
  • Publication number: 20130001736
    Abstract: A high-voltage integrated circuit device has formed therein a high-voltage junction terminating region that is configured by a breakdown voltage region formed of an n-well region, a ground potential region formed of a p-region, a first contact region and a second contact region. An opposition section of the high-voltage junction terminating region, whose distance to an intermediate-potential region formed of a p-drain region is shorter than those of other sections, is provided with a resistance higher than those of the other sections. Accordingly, a cathode resistance of a parasitic diode formed of the p-region and the n-well region increases, locally reducing the amount of electron holes injected at the time of the input of a negative-voltage surge. As a result, an erroneous operation or destruction of a logic part of a high-side circuit can be prevented when the negative-voltage surge is applied to an H-VDD terminal or a Vs terminal.
    Type: Application
    Filed: September 12, 2011
    Publication date: January 3, 2013
    Applicant: FUJI ELECTRIC CO., LTD.
    Inventor: Masaharu Yamaji
  • Publication number: 20130001786
    Abstract: A method of forming overlapping contacts in a semiconductor device includes forming a first contact in a dielectric layer; etching the dielectric layer to form a recess adjacent to the first contact and removing a top portion of the first contact while etching the dielectric layer, wherein a bottom portion of the first contact remains in the dielectric layer after the recess is formed in the dielectric layer; and forming a second contact in the recess adjacent to the bottom portion of the first contact and on top of a top surface of the bottom portion of the first contact.
    Type: Application
    Filed: June 29, 2011
    Publication date: January 3, 2013
    Applicants: INTERNATIONAL BUSINESS MACHINES CORPORATION, STMICROELECTRONICS, INC., GLOBALFOUNDRIES INC.
    Inventors: Brett H. Engel, Lindsey Hall, David F. Hilscher, Randolph F. Knarr, Steven R. Soss, Jin Z. Wallner
  • Publication number: 20130001768
    Abstract: A method of manufacturing an electronic system. One embodiment provides a semiconductor chip having a first main face and a second main face opposite to the first main face. A mask is applied to the first main face of the semiconductor chip. A compound is applied to the first main face of the semiconductor chip. The compound includes electronically conductive particles. The semiconductor chip is coupled to a carrier with the compound facing the carrier.
    Type: Application
    Filed: September 13, 2012
    Publication date: January 3, 2013
    Applicant: INFINEON TECHNOLOGIES AG
    Inventor: Ivan Nikitin
  • Publication number: 20130001792
    Abstract: A power MOSFET for switching and a sense MOSFET having an area smaller than that of the power MOSFET and configured to detect an electric current flowing through the power MOSFET are formed within one semiconductor chip CPH and the semiconductor chip CPH is mounted over a chip mounting part via an electrically conductive joining material and sealed with a resin. In a main surface of the semiconductor chip CPH, a sense MOSFET region in which the sense MOSFET is formed is located more internally than a source pad PDHS4 of the sense MOSFET region RG2. Furthermore, in the main surface of the semiconductor chip, the sense MOSFET region RG2 is surrounded by a region in which the power MOSFET is formed.
    Type: Application
    Filed: June 24, 2012
    Publication date: January 3, 2013
    Inventors: Tomoaki UNO, Yoshitaka Onaya, Hirokazu Kato, Ryotaro Kudo, Koji Saikusa, Katsuhiko Funatsu
  • Publication number: 20130001803
    Abstract: A method for attaching a metal surface to a carrier is provided, the method including: depositing a porous layer over at least one of a metal surface and a side of a carrier; and attaching the at least one of a metal surface and a side of a carrier to the porous layer by bringing a material into pores of the porous layer, resulting in the material forming an interconnection between the metal surface and the carrier.
    Type: Application
    Filed: June 30, 2011
    Publication date: January 3, 2013
    Applicant: Infineon Technologies AG
    Inventors: Manfred Mengel, Joachim Mahler, Khalil Hosseini, Horst Theuss
  • Publication number: 20130001766
    Abstract: According to one embodiment, a substrate processing method is disclosed. The above method includes: grinding an outer edge portion on a back surface of a semiconductor wafer with a semiconductor element formed on its front surface with a first grindstone or blade to thereby form an annular groove; grinding a projecting portion on an inner side of the groove with a second grindstone to thereby form a recessed portion integrally with the groove on the back surface of the semiconductor wafer; and grinding a bottom surface of the recessed portion including a ground surface made by the second grindstone with a third grindstone.
    Type: Application
    Filed: March 16, 2012
    Publication date: January 3, 2013
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Shinya TAKYU, Noriko Shimizu, Tsutomu Fujita
  • Publication number: 20130001551
    Abstract: A probe resistance measuring method includes measuring first resistances at three or more nodes by making contact at least a part of a plurality of probes of a probe unit with three or more pads for resistance measurement based on a first correspondence relation. The measured resistances are stored as a first measurement result and contact resistances of the plurality of probes of the probe unit are calculated based on the first measurement result.
    Type: Application
    Filed: August 31, 2012
    Publication date: January 3, 2013
    Inventors: Shigetomi MICHIMATA, Masayuki YANAGISAWA, Kazumasa KUROYANAGI
  • Publication number: 20130001771
    Abstract: A semiconductor die has first and second discrete semiconductor components mounted over a plurality of wettable contact pads formed on a carrier. Conductive pillars are formed over the wettable contact pads. A semiconductor die is mounted to the conductive pillars over the first discrete components. The conductive pillars provide vertical stand-off of the semiconductor die as headroom for the first discrete components. The second discrete components are disposed outside a footprint of the semiconductor die. Conductive TSV can be formed through the semiconductor die. An encapsulant is deposited over the semiconductor die and first and second discrete components. The wettable contact pads reduce die and discrete component shifting during encapsulation. A portion of a back surface of the semiconductor die is removed to reduce package thickness. An interconnect structure is formed over the encapsulant and semiconductor die. Third discrete semiconductor components can be mounted over the semiconductor die.
    Type: Application
    Filed: September 7, 2012
    Publication date: January 3, 2013
    Applicant: STATS CHIPPAC, LTD.
    Inventors: Reza A. Pagaila, Yaojian Lin, Jun Mo Koo
  • Patent number: 8344484
    Abstract: A semiconductor device may include, but is not limited to: a semiconductor substrate having an element formation region and a dicing region; an element layer over the element formation region and the dicing region; and a multi-layered wiring structure over the dicing region. The multi-layered wiring structure extends upwardly from the element layer. The multi-layered wiring structure has a groove penetrating the multi-layered wiring structure.
    Type: Grant
    Filed: December 15, 2010
    Date of Patent: January 1, 2013
    Assignee: Elpida Memory, Inc.
    Inventor: Toyonori Eto
  • Patent number: 8344522
    Abstract: The invention provides a solder structure which is least likely to develop Sn whiskers and a method for forming such a solder structure. The solder structure includes an Sn alloy capable of a solid-liquid coexistent state and an Au (or Au alloy) coating covering at least part of the surface of the Sn alloy. The Au covering is a film that covers and coats at least part of the surface of the Sn alloy. As a preferable mode, the Au coating forms a netlike structure on the surface of the Sn alloy. The thickness of the Au coating is, for instance, 1 to 5 ?m.
    Type: Grant
    Filed: March 18, 2009
    Date of Patent: January 1, 2013
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Hideki Mizuhara, Hajime Kobayashi, Toshiya Shimizu
  • Publication number: 20120326322
    Abstract: A chip package includes a substrate having a positive feature, which is defined on a surface of the substrate and which protrudes above a region on the surface proximate to the positive feature. Furthermore, the chip package includes a mechanical reinforcement mechanism defined on the substrate proximate to the positive feature that increases a lateral shear strength of the positive feature relative to the substrate. In this way, the chip package may facilitate increased reliability of a multi-chip module (MCM) that includes the chip package.
    Type: Application
    Filed: June 21, 2011
    Publication date: December 27, 2012
    Applicant: ORACLE INTERNATIONAL CORPORATION
    Inventors: Ashok V. Krishnamoorthy, Craig A. Stephen, John E. Cunningham, James G. Mitchell
  • Publication number: 20120326335
    Abstract: A low-noise flip-chip package, comprising: a carrier substrate having first and second opposing main faces; and a flip-chip substrate connected in a face-down manner onto the first main face of the carrier substrate via a connection array, wherein: the flip-chip substrate comprises at least first and second circuitry portions spaced apart from one another; the flip-chip substrate comprises a substrate-contact boundary located between the first and second circuitry portions; and each of the first circuitry portion, the second circuitry portion and the substrate-contact boundary has its own separate signal-reference connection extending via a respective connection of the connection array through the carrier substrate to a respective electrical contact at the second main face of the carrier substrate for connection to a common signal-reference element in an external circuit.
    Type: Application
    Filed: August 3, 2012
    Publication date: December 27, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Ian Juso DEDIC, Ghazanfer ALI
  • Publication number: 20120326312
    Abstract: A method includes forming an opening in a dielectric layer, and forming a silicon rich layer on a surface of the dielectric layer. A portion of the silicon rich layer extends into the opening and contacts the dielectric layer. A tantalum-containing layer is formed over and the contacting the silicon rich layer. An annealing is performed to react the tantalum-containing layer with the silicon rich layer, so that a tantalum-and-silicon containing layer is formed.
    Type: Application
    Filed: June 24, 2011
    Publication date: December 27, 2012
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shiu-Ko JangJian, Ting-Chun Wang, Szu-An Wu
  • Publication number: 20120326323
    Abstract: A hermetically sealed integrated circuit package that includes a cavity housing a semiconductor die, whereby the cavity is pressurized during assembly and when formed. The invention prevents the stress on a package created when the package is subject to high temperatures at atmospheric pressure and then cooled from reducing the performance of the die at high voltages. By packaging a die at a high pressure, such as up to 60 PSIG or more, in an atmosphere with an inert gas, and providing a large pressure in the completed package, the dies are significantly less likely to arc at higher voltages, allowing the realization of single die packages operable up to at least 1200 volts or more. Moreover, the present invention is configured to employ brazed elements compatible with Silicon Carbide dies which can be processed at higher temperatures.
    Type: Application
    Filed: September 5, 2012
    Publication date: December 27, 2012
    Applicant: MICROSEMI CORPORATION
    Inventor: Tracy Autry
  • Publication number: 20120326147
    Abstract: Provided is a semiconductor chip in which a first rewiring connection part located in the peripheral electrode pad or relatively close to the peripheral electrode pad in the V/G line and a second rewiring connection part located relatively distant from the peripheral electrode pad in the V/G line and having a lower potential than the first rewiring connection part before formation of a rewiring line are connected by the rewiring line. The semiconductor chip includes an inspection part for wafer test in the second rewiring connection part, a part on the V/G line close to the second rewiring connection part and having a lower potential than the first rewiring connection part before the rewiring line formation, or a conductive part extended from the V/G line to a proximity of the second rewiring connection part and having a lower potential than the first rewiring connection part before the rewiring line formation.
    Type: Application
    Filed: May 24, 2012
    Publication date: December 27, 2012
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventor: Naoto AKIYAMA
  • Publication number: 20120326293
    Abstract: A semiconductor package includes a substrate, a semiconductor chip disposed on the substrate, and a connection wiring connected electrically to the semiconductor chip. The semiconductor package further includes a sidewall formed of an insulator, an inner electrode formed on a first surface of the sidewall that faces the substrate, and a sidewall external electrode formed on a second surface of the sidewall different from the first surface. The inner electrode and the sidewall external electrode are connected electrically, and the inner electrode is connected to the connection wiring. With this configuration, it is possible to suppress the semiconductor package from being large due to an increase in the number of sidewall external electrodes formed on the side surfaces of the semiconductor package, and to shorten a connection distance between the semiconductor packages by connecting the sidewall external electrodes.
    Type: Application
    Filed: August 31, 2012
    Publication date: December 27, 2012
    Applicant: PANASONIC CORPORATION
    Inventors: Shouichi KOBAYASHI, Hiroyuki TANAKA
  • Publication number: 20120326337
    Abstract: A semiconductor device has a carrier with a die attach area. Recesses are formed partially through the carrier outside the die attach area. A first conductive layer is conformally applied over a surface of the carrier and into the recesses. A semiconductor die is mounted to the die attach area of the carrier. An encapsulant is deposited over the carrier and semiconductor die. The encapsulant extends into the recesses over the first conductive layer to form encapsulant bumps. The carrier is removed to expose the first conductive layer over the encapsulant bumps. A first insulating layer is formed over the semiconductor die with openings to expose contact pads of the semiconductor die. A second conductive layer is formed between the first conductive layer and the contact pads on the semiconductor die. A second insulating layer is formed over the second conductive layer and semiconductor die.
    Type: Application
    Filed: June 23, 2011
    Publication date: December 27, 2012
    Applicant: STATS CHIPPAC, LTD.
    Inventors: Zigmund R. Camacho, Henry D. Bathan, Emmanuel A. Espiritu
  • Publication number: 20120326317
    Abstract: The present invention discloses a semiconductor device and a manufacturing method therefor. Conventionally, platinum is deposited in a device substrate to suppress diffusion of nickel in nickel silicide. However, introducing platinum by means of deposition makes the platinum only stay on the surface but fails to effectively suppress the diffusion of nickel over a desirable depth. According to the present invention, a semiconductor device is formed by implanting platinum into a substrate and forming NiSi in a region of the substrate where platinum is implanted. With the present invention, platinum can be distributed over a desirable depth range so as to more effectively suppress nickel diffusion.
    Type: Application
    Filed: September 30, 2011
    Publication date: December 27, 2012
    Applicant: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING) CORPORATION
    Inventor: BING WU
  • Publication number: 20120326334
    Abstract: At least one embodiment provides an interposer including: a lower wiring substrate; an upper wiring substrate disposed over the lower wiring substrate via a gap; and through-electrodes which penetrate through the upper wiring substrate and the lower wiring substrate across the gap to thereby link the upper wiring substrate and the lower wiring substrate, portions of the through-electrodes being exposed in the gap.
    Type: Application
    Filed: June 20, 2012
    Publication date: December 27, 2012
    Applicant: SHINKO ELECTRIC INDUSTRIES CO., LTD.
    Inventor: Hideaki SAKAGUCHI
  • Publication number: 20120326314
    Abstract: A layered structure and semiconductor device and methods for fabricating a layered structure and semiconductor device. The layered structure includes: a base layer including a material containing titanium nitride, tantalum nitride, or a combination thereof; a conductive layer including a material containing: tantalum aluminum nitride, titanium aluminum nitride, tantalum silicon nitride, titanium silicon nitride, tantalum hafnium nitride, titanium hafnium nitride, hafnium nitride, hafnium carbide, tantalum carbide, vanadium nitride, niobium nitride, or any combination thereof; and a tungsten layer. The semiconductor device includes: a semiconductor substrate; a base layer; a conductive layer; and a tungsten layer.
    Type: Application
    Filed: September 6, 2012
    Publication date: December 27, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Stephen L. Brown, John Bruley, Cyril Cabral, JR., Sandro Callegari, Martin M. Frank, Michael A. Guillorn, Marinus Hopstaken, Vijay Narayanan, Keith Kwong Hon Wong
  • Publication number: 20120326316
    Abstract: Metal contact formation for molecular device junctions by surface-diffusion-mediated deposition (SDMD) is described. In an example, a method of fabricating a molecular device junction by surface-diffusion-mediated deposition (SDMD) includes forming a molecular layer above a first region of a substrate. A region of metal atoms is formed above a second region of the substrate proximate to, but separate from, the first region of the substrate. A metal contact is then formed by migrating metal atoms from the region of metal atoms onto the molecular layer.
    Type: Application
    Filed: June 23, 2011
    Publication date: December 27, 2012
    Inventors: Richard L. McCreery, Andrew P. Bonifas, Vicki Wai-Shum Lui
  • Publication number: 20120328303
    Abstract: A protective coating encapsulates bond pads disposed on a substrate of an optical communications module and extends in between the bond pads. The protective coating has characteristics that (1) increase the dielectric resistances between adjacent bond pads on the substrate, (2) protect the bond pads from moisture in the environment, and (3) prevents, or at least reduces, ion migration between adjacent bond pads. In this way, the protective coating prevents, or at least reduces, corrosion growth that can lead to impedance degradation and electrical shorts between adjacent bond pads.
    Type: Application
    Filed: June 27, 2011
    Publication date: December 27, 2012
    Applicant: AVAGO TECHNOLOGIES FIBER IP (SINGAPORE) PTE. LTD.
    Inventors: Goh Han Peng, Phang Kah Yuan, De Mesa Eduardo Alicaya
  • Patent number: 8338951
    Abstract: A metal line in a semiconductor device includes an insulation layer formed on a semiconductor substrate. A metal line forming region is formed in the insulation layer. A metal line is formed to fill the metal line forming region of the insulation layer. And a diffusion barrier that includes an amorphous TaBN layer is formed between the metal line and the insulation layer. The amorphous TaBN layer prevents a copper component from diffusing into the semiconductor substrate, thereby improving upon the characteristics and the reliability of a device.
    Type: Grant
    Filed: June 3, 2011
    Date of Patent: December 25, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventors: Dong Ha Jung, Seung Jin Yeom, Baek Mann Kim, Young Jin Lee, Jeong Tae Kim
  • Patent number: 8338942
    Abstract: A power semiconductor module, for placement on a cooling component. The module includes a substrate, at least two power semiconductor components arranged on the substrate, a housing and outwardly routed load and control connections. The substrate has an insulator body with a first main area that faces the interior of the power semiconductor module, and has interconnects at load potential arranged thereon. Each load connection is formed as a shaped metal body with outer contacts, a strip-like section and with inner contacts extending from the strip-like section to the substrate and making circuit-compliant contact therewith. In addition, the load connections are substantially completely encased by insulation except in the vicinity of the outer and inner contacts and accordingly are electrically insulated from one another.
    Type: Grant
    Filed: June 14, 2007
    Date of Patent: December 25, 2012
    Assignee: Semikron Elektronik GmbH & Co. KG
    Inventors: Marco Lederer, Rainer Popp
  • Patent number: 8338969
    Abstract: A serial advanced technology attachment (SATA) interface storage device. The SATA interface storage device can be used in cooperation with an electrical apparatus and comprises a substrate, a chip set, a SATA interface and a shell. The substrate has a first surface, a second surface corresponding to the first surface and a plurality of connectors between the first surface and the second surface. The chip set is disposed on the first surface. The SATA interface is disposed on the second surface and is electrically connected to the chip set via a part of the connectors so that the electrical apparatus may be electrically connected to the chip set via the SATA interface to access the chip set. The shell has a width and a thickness and defines a receiving space for receiving the substrate, the chip set and the SATA interface, where the width and the thickness conform to a micro-memory card standard.
    Type: Grant
    Filed: January 27, 2010
    Date of Patent: December 25, 2012
    Assignee: Waltop International Corp.
    Inventors: I-An Chen, Wen-Chieh Lee
  • Patent number: 8338938
    Abstract: A chip package device includes a substrate having a chip bonding area and at least one contact pad, a chip having an active surface and an inactive surface, at least one wire, an adhesive layer, a heat dissipation element, and an encapsulation. The chip is disposed on the chip bonding area with its inactive surface facing the substrate. The chip includes at least one bonding pad disposed on the active surface. The wire correspondingly connects the at least one bonding pad and the at least one contact pad. The adhesive layer covers the active surface of the chip and encloses a portion of the wire extending over the bonding pad. The heat dissipation element is attached to the adhesive layer and covers the chip. The encapsulation partially encloses the periphery of the assembly including the chip, the adhesive and the heat dissipation element, and has an indented opening to expose the surface of the heat dissipation element.
    Type: Grant
    Filed: May 10, 2011
    Date of Patent: December 25, 2012
    Assignee: Chipmos Technologies Inc.
    Inventors: Han Cheng Hsu, Ting Chang Yeh
  • Patent number: 8338288
    Abstract: In connection with a semiconductor device in which a conductive member is coupled to the surface of a bonding pad exposed from an opening formed in a passivation film, there is provided a technique able to suppress the occurrence of a crack in the passivation film. A second planar distance between a first end of an electrode layer and a first end of a pad is greater than a first planar distance between the first end of the electrode layer and a first end of an opening. Since the second planar distance between the first end of the electrode layer and the first end of the pad is long, even when a coupled position of wire is deviated to the first end side of the electrode layer, stress caused by coupling of the wire to a stepped portion of the electrode layer can be prevented from being transmitted to the first end portion of the pad.
    Type: Grant
    Filed: April 6, 2011
    Date of Patent: December 25, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Tamaki Wada, Akihiro Tobita, Seiichi Ichihara
  • Publication number: 20120319288
    Abstract: A semiconductor package and a carrier for a semiconductor package are provided, the carrier having a top surface and a bottom surface separated by side walls. The carrier includes a seat for a component, and at least one terminal region for electrically connecting the component to the carrier when mounted to the seat, wherein a test portal is arranged at an outer surface of the carrier, and wherein one or more routing paths are arranged in the carrier for routing one or more electrical contacts arranged at the carrier to the test portal.
    Type: Application
    Filed: May 29, 2012
    Publication date: December 20, 2012
    Applicant: BIOTRONIK SE & Co. KG
    Inventors: Adam Birge, Kevin Pickup, Anthony A. Primavera
  • Publication number: 20120319286
    Abstract: A method of manufacture of an integrated circuit packaging system includes: providing a substrate; forming a connection post on the substrate, the connection post having a post top and a post side; mounting an integrated circuit die on the substrate, the integrated circuit die having a top die surface; molding an encapsulation on the integrated circuit die and the connection post; and forming a connector recess in the encapsulation by removing the encapsulation around the connection post exposing a portion of the post side.
    Type: Application
    Filed: June 17, 2011
    Publication date: December 20, 2012
    Inventors: DeokKyung Yang, In Sang Yoon, SangJin Lee
  • Publication number: 20120319289
    Abstract: A semiconductor package includes a semiconductor chip having plural electrode pads, and a wiring substrate having plural electrode pads to mount the semiconductor chip on the wiring substrate, wherein the plural electrode pads of the semiconductor chip include a first electrode pad, and a second electrode pad arranged on an outer periphery side of the first electrode pad, the plural electrode pads of the wiring substrate include a third electrode pad, and a fourth electrode pad arranged on an outer periphery side of the third electrode pad, the first electrode pad and the third electrode pad are connected via a first connecting portion, and the second electrode pad and the fourth electrode pad are connected via a second connecting portion including a pin.
    Type: Application
    Filed: June 11, 2012
    Publication date: December 20, 2012
    Applicant: SHINKO ELECTRIC INDUSTRIES CO., LTD.
    Inventors: Kenichi MORI, Hideaki SAKAGUCHI
  • Publication number: 20120319301
    Abstract: In one embodiment, a method includes forming a first pad for coupling to a first terminal of a first transistor of a monolithic darlington transistor configuration and forming a second pad for coupling to a first terminal of a second transistor of the monolithic darlington transistor configuration. The method then forms a third pad for coupling to an external component for the monolithic darlington transistor configuration. The third pad is coupled to a second terminal of the first transistor and a second terminal of the second transistor of the monolithic darlington transistor configuration.
    Type: Application
    Filed: December 19, 2011
    Publication date: December 20, 2012
    Applicant: DIODES ZETEX SEMICONDUCTORS LIMITED
    Inventor: David Neil Casey
  • Publication number: 20120320549
    Abstract: The present invention relates to a method for producing a conductor structural element, comprising providing a rigid substrate, electrodepositing a copper coating on the rigid substrate, applying a conductor pattern structure to the copper coating, then possibly mounting components, laminating the substrate with at least one electrically insulating layer, detaching the rigid substrate, at least partially removing the remaining copper coating of the rigid substrate in such a way that the conductor pattern structure is exposed.
    Type: Application
    Filed: December 17, 2010
    Publication date: December 20, 2012
    Applicant: Schweizer Electronic AG
    Inventors: Thomas Gottwald, Alexander Neumann
  • Publication number: 20120319270
    Abstract: A structure includes a metal pad over a semiconductor substrate, a passivation layer having a portion over the metal pad, and a first polyimide layer over the passivation layer, wherein the first polyimide layer has a first thickness and a first Young's modulus. A post-passivation interconnect (PPI) includes a first portion over the first polyimide layer, and a second portion extending into the passivation layer and the first polyimide layer. The PPI is electrically coupled to the metal pad. A second polyimide layer is over the PPI. The second polyimide layer has a second thickness and a second Young's modulus. At least one of a thickness ratio and a Young's modulus ratio is greater than 1.0, wherein the thickness ratio is the ratio of the first thickness to the second thickness, and the Young's modulus ratio is the ratio of the second Young's modulus to the first Young's modulus.
    Type: Application
    Filed: June 16, 2011
    Publication date: December 20, 2012
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Feng Chen, Yu-Ling Tsai, Han-Ping Pu, Hung-Jui Kuo, Yu Yi Huang
  • Publication number: 20120319290
    Abstract: A semiconductor chip is provided. The semiconductor chip includes a semiconductor substrate, a circuit on the substrate, an insulating layer formed on the circuit, and a plurality of electrically floating conductor lines formed on the insulating layer, at a major surface of the semiconductor chip.
    Type: Application
    Filed: June 22, 2012
    Publication date: December 20, 2012
    Inventors: Seok-Chan Lee, Min-Woo Kim
  • Publication number: 20120319284
    Abstract: A method of manufacture of an integrated packaging system includes: providing a substrate; mounting a die over the substrate; mounting an interposer having a slot over the die; covering a first encapsulant over the die and the interposer, a central region of the interposer exposed from the first encapsulant; and forming a hole through the first encapsulant to expose a peripheral portion of the interposer.
    Type: Application
    Filed: June 16, 2011
    Publication date: December 20, 2012
    Inventors: ChanHoon Ko, SangJin Lee
  • Publication number: 20120319296
    Abstract: According to one embodiment, a semiconductor chip includes a semiconductor substrate, a via and an insulating layer. The semiconductor substrate has a first major surface and a second major surface on opposite side from the first major surface. The semiconductor substrate is provided with a circuit section including an element and a wiring and a guard ring structure section surrounding the circuit section on the first major surface side. The via is provided in a via hole extending from the first major surface side to the second major surface side of the semiconductor substrate. The insulating layer is provided in a first trench extending from the first major surface side to the second major surface side of the semiconductor substrate.
    Type: Application
    Filed: March 14, 2012
    Publication date: December 20, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Kazumichi Tsumura, Kazuyuki Higashi
  • Publication number: 20120319300
    Abstract: A method of manufacture of an integrated circuit packaging system includes: providing a substrate with a projection formed along a perimeter of a first surface of the substrate; mounting an integrated circuit over the first surface; forming a protruding interconnect over the first surface between the projection and the integrated circuit; and forming an underfill between the integrated circuit and the projection with a uniform height, the uniform height of the underfill less than a height of the projection.
    Type: Application
    Filed: June 15, 2011
    Publication date: December 20, 2012
    Inventors: Oh Han Kim, Yong Hee Kang, DaeSik Choi
  • Patent number: 8334587
    Abstract: In at least one aspect, a semiconductor light emitting device may include a first lead, a second lead provided being apart from the first lead, a semiconductor light emitting element provided on the first lead, a wiring electrically connecting the semiconductor light emitting element and the second lead, a first resin being optically transparent to light from the semiconductor light emitting element, the first resin covering the semiconductor light emitting element, and a second resin provided on the first resin, the first lead and the second lead, and being optically transparent to light from the semiconductor light emitting element, wherein a part of the first lead which is covered with the second resin is symmetric with respect to a vertical line passing through the semiconductor light emitting element in a cross-sectional view cut along a plane, the plane passing the semiconductor light emitting element and being parallel with a direction to which the first lead is extended.
    Type: Grant
    Filed: April 6, 2007
    Date of Patent: December 18, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kazuhiro Inoue, Haruhiko Okazaki, Hiroyuki Nakashima
  • Publication number: 20120313116
    Abstract: A chip on film (COF) is disclosed in the present disclosure, which comprises an adhesive base layer, a driving integrated circuit (IC), an adhesive layer and a copper layer. The driving IC is embedded on a surface of the adhesive base layer; the adhesive layer is located under the adhesive base layer; the copper layer is located under the adhesive layer. The adhesive base layer is formed with a heat and pressure spreading structure. A heat and pressure spreading structure is disposed on the adhesive base layer of the COF so that deformation or unevenness of the glass substrate in the bonded area can be avoided when the COF is thermally pressed to the glass substrate of the LCD. These guarantees the consistency between the bonded area and the unbounded area, the bonded area and the unbounded area of the glass substrate will have the same transmissivity and luminance.
    Type: Application
    Filed: August 31, 2011
    Publication date: December 13, 2012
    Applicant: Shenzhen China Star Optoelectronics Technology Co. Ltd.
    Inventors: Liang-Chan Liao, Po-Shen Lin, Yu Wu
  • Publication number: 20120313239
    Abstract: A microelectronic package includes a substrate overlying the front face of a microelectronic element. A plurality of metal bumps can project from conductive elements of the substrate towards the microelectronic element, the metal bumps having first ends extending from the conductive elements, second ends remote from the conductive elements, and lateral surfaces extending between the first and second ends. A conductive matrix material can contact the second ends and at least portions of the lateral surfaces of respective ones of the metal bumps and join the metal bumps with contacts of the microelectronic element.
    Type: Application
    Filed: June 13, 2011
    Publication date: December 13, 2012
    Applicant: Tessera, Inc.
    Inventor: Wael Zohni
  • Publication number: 20120313252
    Abstract: A semiconductor device includes a base plate having one main surface joined to an insulating substrate on which a semiconductor chip and the like are mounted and a transfer mold resin which is so provided as to cover the one main surface of the base plate, the insulating substrate, the semiconductor chip, and the like and expose the other main surface of the base plate. The coefficient of linear expansion of the base plate is lower than that of copper and the coefficient of linear expansion of the transfer mold resin is not higher than 16 ppm/° C. The transfer mold resin has such scooped shapes as to expose opposed short-side centers and the vicinity of the base plate, respectively. The base plate has mounting holes in portions exposed by the scooped shapes of the transfer mold resin.
    Type: Application
    Filed: February 27, 2012
    Publication date: December 13, 2012
    Applicant: Mitsubishi Electric Corporation
    Inventors: Tetsuya UEDA, Yoshihiro YAMAGUCHI
  • Publication number: 20120313251
    Abstract: Methods and structure are provided for creating and utilizing hard masks to facilitate creation of a grating effect to control an anisotropic etching process for the creation of an opening, and subsequent formation of a interconnect structure (e.g., a via) in a multilayered semiconductor device. A first hard mask can be patterned to control etching in a first dimension, and a second hard mask can be patterned to control etching in a second dimension, wherein the second hard mask is patterned orthogonally opposed to the first hard mask. A resist can be patterned by inverting the pattern of a metal line patterning. Interconnects can be formed with critical dimension(s) and also self-aligned.
    Type: Application
    Filed: June 10, 2011
    Publication date: December 13, 2012
    Applicant: TOSHIBA AMERICA ELECTRONIC COMPONENTS, INC.
    Inventor: Hirokazu Kato
  • Publication number: 20120313264
    Abstract: A microelectronic package and method of making same are provided. The package includes a substrate having first and second opposed surfaces, an edge surface extending therebetween, a plurality of terminals, and a plurality of conductive elements electrically connected with the terminals. The edge surface can be disposed at a periphery of the substrate or can be the edge surface of an aperture within the substrate. A microelectronic element has a front face and contacts thereon, with at least some of the contacts being adjacent to the edge surface of the substrate. A dielectric material overlies the edge surface of the substrate and defines a sloping surface between the front face of the microelectronic element and the substrate. A conductive matrix material defines a plurality of conductive interconnects extending along the sloping surface. The conductive interconnects electrically interconnect respective ones of the contacts with the conductive elements.
    Type: Application
    Filed: June 7, 2011
    Publication date: December 13, 2012
    Applicant: Teresa, Inc.
    Inventors: Hiroaki Sato, Kiyoaki Hashimoto, Yoshikuni Nakadaira, Norihito Masuda, Belgacem Haba, Ilyas Mohammed, Philip Damberg
  • Publication number: 20120313242
    Abstract: An interconnection substrate includes a plurality of electrically conductive elements of at least one wiring layer defining first and second lateral directions. Electrically conductive projections for bonding to electrically conductive contacts of at least one component external to the substrate, extend from the conductive elements above the at least one wiring layer. The conductive projections have end portions remote from the conductive elements and neck portions between the conductive elements and the end portions. The end portions have lower surfaces extending outwardly from the neck portions in at least one of the lateral directions. The substrate further includes a dielectric layer overlying the conductive elements and extending upwardly along the neck portions at least to the lower surfaces. At least portions of the dielectric layer between the conductive projections are recessed below a height of the lower surfaces.
    Type: Application
    Filed: June 8, 2011
    Publication date: December 13, 2012
    Applicant: Tessera, Inc.
    Inventors: Kazuo Sakuma, Philip Damberg, Belgacem Haba
  • Publication number: 20120313237
    Abstract: Embodiments of the invention include methods and structures for fabricating a semiconductor structure, and, particularly for improving the planarity of a bonded semiconductor structure comprising a processed semiconductor structure and a semiconductor structure.
    Type: Application
    Filed: January 26, 2011
    Publication date: December 13, 2012
    Applicant: Soitec
    Inventors: Mariam Sadaka, Radu Ionut
  • Publication number: 20120313249
    Abstract: A method of separating semiconductor device structures comprises steps of providing a substrate having a first surface and a second surface opposite to the first surface; forming a plurality of semiconductor epitaxial stacks on the first surface; forming a patterned resist layer covering the semiconductor epitaxial stacks and exposing part of the first surface, or covering the second surface corresponding to the semiconductor epitaxial stacks; performing a physical etching process to directly server the substrate apart from an area of the first surface or the second surface not covered by the patterned resist layer; and separating the semiconductor epitaxial stacks to form a plurality of semiconductor device structures.
    Type: Application
    Filed: June 7, 2012
    Publication date: December 13, 2012
    Inventors: Shih-I CHEN, Ching-Pei Lin, Tzu-Chieh Hsu, Chia-Liang Hsu
  • Publication number: 20120313223
    Abstract: The disclosure relates to a method of fabricating an integrated circuit of CMOS technology in a semiconductor wafer comprising scribe lines. According to the disclosure, a ground contact pad of the integrated circuit is made in a scribe line of the wafer and is destroyed during a step of individualizing the integrated circuit by singulation of the wafer. A ground contact of the integrated circuit is made on the back side of the integrated circuit when it is assembled in an interconnection package.
    Type: Application
    Filed: June 8, 2012
    Publication date: December 13, 2012
    Applicant: STMICROELECTRONICS (ROUSSET) SAS
    Inventor: François Tailliet
  • Publication number: 20120313236
    Abstract: A semiconductor device includes a semiconductor element, a connection electrode formed on the semiconductor element, and alignment marks formed on the semiconductor element. At least one of the alignment marks is made of a magnetic material.
    Type: Application
    Filed: June 2, 2012
    Publication date: December 13, 2012
    Applicant: SONY CORPORATION
    Inventors: Satoru Wakiyama, Masaki Minami
  • Publication number: 20120313261
    Abstract: An embodiment of the present invention provides a manufacturing method of a chip package structure including: providing a first substrate having a plurality of predetermined scribe lines defining a plurality of device regions; bonding a second substrate to the first substrate, wherein a spacing layer is disposed therebetween and has a plurality of chip support rings located in the device regions respectively, a cutting support structure located on peripheries of the chip support rings, a plurality of stop rings surrounding the chip support rings respectively, wherein a gap pattern separating the stop rings from the cutting support structure and the chip support rings; and cutting the first substrate and the second substrate to form a plurality of chip packages. Another embodiment of the present invention provides a chip package structure.
    Type: Application
    Filed: June 8, 2012
    Publication date: December 13, 2012
    Inventors: Hung-Jen LEE, Shu-Ming CHANG, Chen-Han CHIANG, Tsang-Yu LIU, Yen-Shih HO