Arrangements For Conducting Electric Current To Or From Solid-state Body In Operation, E.g., Leads, Terminal Arrangements (epo) Patents (Class 257/E23.01)
  • Publication number: 20130127058
    Abstract: A liner-less tungsten contact is formed on a nickel-tungsten silicide with a tungsten rich surface. A tungsten-containing layer is formed using tungsten-containing fluorine-free precursors. The tungsten-containing layer may act as a glue layer for a subsequent nucleation layer or as the nucleation layer. The tungsten plug is formed by standard processes. The result is a liner-less tungsten contact with low resistivity.
    Type: Application
    Filed: November 18, 2011
    Publication date: May 23, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Christian Lavoie, Ahmet S. Ozcan, Filippos Papadatos
  • Publication number: 20130126866
    Abstract: A semiconductor device in one embodiment includes a wiring board having a wiring pattern; an N semiconductor elements(where N denotes a natural number equal to or greater than 2) mounted on a wiring board; and a current detection parts for detecting a current flowing through m semiconductor elements (where m denotes a natural number equal to or greater than 1 but less than M) of M semiconductor elements(where M denotes a natural number equal to or greater than 1 but equal to or less than N) mounted on the wiring board and selected from the N semiconductor elements. The M semiconductor elements are electrically connected in parallel through the wiring pattern, and the m semiconductor elements are electrically connected in parallel to the other semiconductor elements of the M semiconductor elements through the current detection part.
    Type: Application
    Filed: October 26, 2012
    Publication date: May 23, 2013
    Applicant: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventor: Sumitomo Electric Industries, Ltd.
  • Patent number: 8445906
    Abstract: A method for sorting and acquiring a semiconductor element, including: disposing a plurality of semiconductor elements in an effective section in a semiconductor substrate; disposing a standard semiconductor element outside of the effective section in the semiconductor substrate; forming a bump in each of the plurality of the semiconductor elements and in the standard semiconductor element; performing a test on the plurality of the semiconductor elements in the effective section; forming a location map using the standard semiconductor element as a base point; and picking up the semiconductor elements determined as non-defective in the test from the plurality of the semiconductor elements based on the location map.
    Type: Grant
    Filed: January 20, 2010
    Date of Patent: May 21, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Yoshito Konno, Yutaka Yamada
  • Patent number: 8445966
    Abstract: A semiconductor device (400) for improved charge dissipation protection includes a substrate (426), a layer of semiconductive or conductive material (406), one or more thin film devices (408) and a charge passage device (414). The thin film devices (408) are connected to the semiconductive or conductive layer (406) and the charge passage device (414) is coupled to the thin film devices (408) and to the substrate (426) and provides a connection from the thin film devices (408) to the substrate (426) to dissipate charge from the semiconductive/conductive layer (406) to the substrate (426).
    Type: Grant
    Filed: December 20, 2006
    Date of Patent: May 21, 2013
    Assignee: Spansion LLC
    Inventors: David M. Rogers, Mimi X. Qian, Kwadwo A. Appiah, Mark Randolph, Michael A. VanBuskirk, Tazrien Kamal, Hiroyuki Kinoshita, Yi He, Wei Zheng
  • Publication number: 20130119528
    Abstract: Stacked semiconductor die assemblies with multiple thermal paths and associated systems and methods are disclosed herein. In one embodiment, a semiconductor die assembly can include a plurality of first semiconductor dies arranged in a stack and a second semiconductor die carrying the first semiconductor dies. The second semiconductor die can include a peripheral portion that extends laterally outward beyond at least one side of the first semiconductor dies. The semiconductor die assembly can further include a thermal transfer feature at the peripheral portion of the second semiconductor die. The first semiconductor dies can define a first thermal path, and the thermal transfer feature can define a second thermal path separate from the first semiconductor dies.
    Type: Application
    Filed: September 13, 2012
    Publication date: May 16, 2013
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Steven K. Groothuis, Jian Li, Haojun Zhang, Paul A. Silvestri, Xiao Li, Shijian Luo, Luke G. England, Brent Keeth, Jaspreet Gandhi
  • Publication number: 20130119531
    Abstract: According to one embodiment, a method for manufacturing a semiconductor device includes: forming an underlayer film that contains atoms selected from the group consisting of aluminum, boron and alkaline earth metal; and forming a silicon oxide film on the underlayer film by a CVD method or an ALD method by use of a silicon source containing at least one of an ethoxy group, a halogen group, an alkyl group and an amino group, or a silicon source of a siloxane system.
    Type: Application
    Filed: March 19, 2012
    Publication date: May 16, 2013
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Masayuki Tanaka, Kenichiro Toratani
  • Publication number: 20130119461
    Abstract: A semiconductor device includes: a first interlayer insulating layer in first and second regions of a semiconductor substrate, a second interlayer insulating layer over the first interlayer insulating layer in first and second regions, a hard mask provided between the first and the second interlayer insulating layers in the second region and not extending to the first region, a first metal contact formed through the second interlayer insulating layer and the hard mask in the second region, and a first storage node contact formed through the first interlayer insulating layer in the first region.
    Type: Application
    Filed: October 11, 2012
    Publication date: May 16, 2013
    Applicant: SK HYNIX INC.
    Inventor: SK HYNIX INC.
  • Publication number: 20130119540
    Abstract: Disclosed herein are a semiconductor package and a method for manufacturing the same. The method includes preparing a substrate having one surface and the other surface; mounting a semiconductor device mounted on one surface of the substrate; forming external connection terminals on the other surface of the substrate; forming a warpage preventing layer formed on one surface of the substrate or the other surface of the substrate; and performing a reflow process on the substrate.
    Type: Application
    Filed: January 31, 2012
    Publication date: May 16, 2013
    Applicant: SAMSUNG ELECTRO-MECHANICS CO., LTD.
    Inventors: Jong Kuk Hong, Keung Jin Sohn, Jung Hwan Park
  • Publication number: 20130119550
    Abstract: In one embodiment, a method of manufacturing a semiconductor device includes sequentially forming a first insulator, a second insulator, and a sacrificial layer on a semiconductor substrate, and forming plural core materials from the sacrificial layer and the second insulator. The method further includes forming first and second interconnects on side surfaces of each core material to form plural first interconnects and plural second interconnects alternately, each first interconnect having a first side surface in contact with a core material and a second side surface positioned on an opposite side of the first side surface, and each second interconnect having a third side surface in contact with a core material and a fourth side surface positioned on an opposite side of the third side surface. The method further includes removing the sacrificial layer so that the second insulator remains, after the first and second interconnects are formed.
    Type: Application
    Filed: August 9, 2012
    Publication date: May 16, 2013
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Yumi HAYASHI
  • Publication number: 20130120021
    Abstract: An apparatus comprises a first integrated circuit (IC) die, and a second IC die stacked on the first IC die. The first and second IC dies are operational independently of each other. Each respective one of the first and second IC dies has: at least one circuit for performing a function; an operation block coupled to selectively disconnect the circuit from power; and an output enable block coupled to selectively connect the circuit to at least one data bus.
    Type: Application
    Filed: November 14, 2011
    Publication date: May 16, 2013
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Shyh-An CHI
  • Publication number: 20130119549
    Abstract: A method includes placing a mold chase over a bottom package, wherein the bottom package has a connector at a top surface of the bottom package. The mold chase includes a cover, and a pin under and connected to the cover. The pin occupies a space extending from a top surface of the connector to the cover. A polymer is filled into a space between the cover of the mold chase and the bottom package. The polymer is then cured. After the step of curing the polymer, the mold chase is removed, and the connector is exposed through an opening in the polymer, wherein the opening is left by the pin of the mold chase.
    Type: Application
    Filed: November 16, 2011
    Publication date: May 16, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jung Wei Cheng, Chien-Hsiun Lee, Tsung-Ding Wang, Chun-Chih Chuang
  • Publication number: 20130119562
    Abstract: A semiconductor package includes a semiconductor chip, a first insulating layer formed to cover the semiconductor chip, a wiring structure formed on the first insulating layer. The wiring structure has an alternately layered configuration including wiring layers electrically connected to the semiconductor chip and interlayer insulating layers each located between one of the wiring layers and another. The interlayer insulating layers include an outermost interlayer insulating layer located farthest from a surface of the first insulating layer. A groove formed in the outermost interlayer insulating layer passes through the outermost interlayer insulating layer in a thickness direction.
    Type: Application
    Filed: November 2, 2012
    Publication date: May 16, 2013
    Applicant: Shinko Electric Industries Co., LTD.
    Inventors: Noriyoshi Shimizu, Akio Rokugawa, Osamu Inoue
  • Publication number: 20130113107
    Abstract: A semiconductor device includes: a substrate comprised by gallium arsenide; an active layer provided on the substrate; a first nickel-plated layer provided on a lower face of the substrate facing the active layer; a copper-plated layer provided on a lower face of the first nickel-plated layer; and a second nickel-plated layer provided on a lower face of the copper-plated layer.
    Type: Application
    Filed: November 5, 2012
    Publication date: May 9, 2013
    Applicant: SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC.
    Inventor: Sumitomo Electric Device Innovations, Inc.
  • Publication number: 20130113108
    Abstract: A method comprises connecting a substrate having a plurality of integrated circuit (IC) dies to a package substrate, so that the package substrate extends beyond at least two edges of the substrate, leaving first and second edge portions of the package substrate having exposed contacts. The first and second edge portions meet at a first corner of the package substrate. At least a first upper die package is placed over the substrate, so that first and second edge portions of the first upper die package extend beyond the at least two edges of the substrate. Pads on the first and second edge portions of the first upper die package are connected to the contacts of the first and second edge portions of the package substrate.
    Type: Application
    Filed: September 4, 2012
    Publication date: May 9, 2013
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tsung-Ding WANG, Chien-Hsun LEE
  • Patent number: 8436461
    Abstract: Disclosed is a semiconductor device wherein the adhesion of resin to a substrate is improved at a low cost. A semiconductor element and one or two substrates opposing one or both of the surfaces of the semiconductor element are sealed by a resin, a resin bonding coat which is formed by spraying a metal powder by a cold spray method is formed on one or both of the substrates, and recess portions which are widened from a film surface in a depth direction are formed on the resin bonding coat.
    Type: Grant
    Filed: May 21, 2010
    Date of Patent: May 7, 2013
    Assignee: Toyota Jidosha Kabushiki Kaisha
    Inventor: Hirotaka Ohno
  • Publication number: 20130105985
    Abstract: A semiconductor device includes a connection terminal. The connection terminal includes two legs bonded via a filler material to a bonding target object that is a substrate or one semiconductor element placed on the substrate; and a joining portion connected to the two legs, extending between the two legs, and separated from the bonding target object.
    Type: Application
    Filed: October 5, 2012
    Publication date: May 2, 2013
    Inventors: Junji TSURUOKA, Seiji YASUI, Osamu YAMATO, Takayuki MAEDA
  • Publication number: 20130105981
    Abstract: Methods for bonding substrate surfaces, bonded substrate assemblies, and design structures for a bonded substrate assembly. Device structures of a product chip are formed using a first surface of a device substrate. A wiring layer of an interconnect structure for the device structures is formed on the product chip. The wiring layer is planarized. A temporary handle wafer is removably bonded to the planarized wiring layer. In response to removably bonding the temporary handle wafer to the planarized first wiring layer, a second surface of the device substrate, which is opposite to the first surface, is bonded to a final handle substrate. The temporary handle wafer is then removed from the assembly.
    Type: Application
    Filed: October 31, 2011
    Publication date: May 2, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Edward C. Cooney, III, James S. Dunn, Dale W. Martin, Charles F. Musante, BethAnn Rainey, Leathen Shi, Edmund J. Sprogis, Cornelia K. Tsang
  • Publication number: 20130105983
    Abstract: In a semiconductor device, parallel first and second conductive lines having a unit width extend from a memory cell region into a connection region. A trim region in the connection region includes pads respectively connected to the first and second conductive lines but are separated by a width much greater than the unit width.
    Type: Application
    Filed: September 6, 2012
    Publication date: May 2, 2013
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: JIN-HO MIN, KI-JEONG KIM, KYOUNG-SUB SHIN, DONG-HYUN KIM
  • Publication number: 20130105994
    Abstract: A chip packaging apparatus includes a substrate, a load frame attached to the substrate by an adhesive material, the load frame being formed to define an aperture and a semiconductor chip mounted on the substrate within the aperture. A thickness of the adhesive material between the load frame and the substrate is varied and adjusted such that a surface of the load frame opposite the substrate is disposed substantially in parallel to a surface of the chip opposite the substrate.
    Type: Application
    Filed: October 28, 2011
    Publication date: May 2, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Evan G. Colgan, Michael A. Gaynes, Jeffrey A. Zitz
  • Publication number: 20130106448
    Abstract: A test key structure for use in measuring step height includes a substrate, and a pair of test contacts. The substrate includes an isolation region and a diffusion region. The test contact pair includes a first test contact and a second test contact for measuring electrical resistances. The first test contact is disposed on the diffusion region and the second test contact is disposed on the isolation region.
    Type: Application
    Filed: November 1, 2011
    Publication date: May 2, 2013
    Inventors: Chih-Kai Kang, Shu-Hsuan Chih, Sheng-Yuan Hsueh, Chia-Chen Sun, Po-Kuang Hsieh, Chi-Horn Pai, Shih-Chieh Hsu
  • Publication number: 20130105975
    Abstract: Various semiconductor chip devices and methods of assembling the same are disclosed. In one aspect, a method of manufacturing is provided that includes coupling a frame to a surface of a substrate. The surface of the substrate is adapted to hold a first semiconductor chip that includes an upper surface. The frame includes an internal wall that is adapted to engage plural sidewalls of the first semiconductor chip. A portion of the internal wall and at least a portion of the upper surface are adapted to define an internal space.
    Type: Application
    Filed: October 26, 2011
    Publication date: May 2, 2013
    Inventors: Rafiqul Hussain, Edward S. Alcid
  • Publication number: 20130105977
    Abstract: An embodiment electronic device comprises a semiconductor chip including a first main face, a second main face and side faces each connecting the first main face to the second main face. A metal layer is disposed above the second main face and the side faces, the metal layer including a porous structure.
    Type: Application
    Filed: October 27, 2011
    Publication date: May 2, 2013
    Applicant: Infineon Technologies AG
    Inventors: Khalil Hosseini, Frank Kahlmann
  • Publication number: 20130105993
    Abstract: There is set forth herein a semiconductor assembly including an integrated circuit and a set of springs extending from the integrated circuit that can be adapted for connection to an external article. The external article can be e.g. an integrated circuit or a printed circuit board. On connection of the semiconductor assembly to an external article there can be defined a semiconductor assembly comprising the integrated circuit the set of springs and the external article. The set of springs can be metal nanospring array can formed by GLAD (Glancing angle deposition) process. In one embodiment, the nanospring array can be GLAD formed on a substrate and then applied to the integrated circuit. In one embodiment, the nanospring array can be GLAD formed on the integrated circuit.
    Type: Application
    Filed: October 28, 2011
    Publication date: May 2, 2013
    Applicant: GENERAL ELECTRIC COMPANY
    Inventors: Raj BAHADUR, David SHADDOCK, Binoy SHAH
  • Publication number: 20130105955
    Abstract: Disclosed herein is a semiconductor chip, including: a first substrate having a concave formed on one surface thereof and an opening formed on a bottom surface of the concave; a second substrate contacting the other surface of the first substrate; and a semiconductor chip mounted in the concave.
    Type: Application
    Filed: January 30, 2012
    Publication date: May 2, 2013
    Applicant: SAMSUNG ELECTRO-MECHANICS CO., LTD.
    Inventors: Jin Su Kim, Ji Man Ryu, Soon Gyu Yim
  • Publication number: 20130105960
    Abstract: A power module includes a substrate including an insulating member and a patterned metallization on the insulating member. The patterned metallization is segmented into a plurality of spaced apart metallization regions. Adjacent ones of the metallization regions are separated by a groove which extends through the patterned metallization to the insulating member. A first power transistor circuit includes a first power switch attached to a first one of the metallization regions and a second power switch attached to a second one of the metallization regions adjacent a first side of the first metallization region. A second power transistor circuit includes a third power switch attached to the first metallization region and a fourth power switch attached to a third one of the metallization regions adjacent a second side of the first metallization region which opposes the first side. The second power transistor circuit mirrors the first power transistor circuit.
    Type: Application
    Filed: October 26, 2011
    Publication date: May 2, 2013
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Patrick Jones, Andre Christmann, Daniel Domes
  • Publication number: 20130099375
    Abstract: A semiconductor package substrate including a substrate body having a front surface configured for mounting a semiconductor chip on the front surface and a rear surface facing the front surface and comprising a window passing through the front and rear surfaces, the window having one or more surfaces inclined from the front surface toward the rear surface; and a conductive pattern arranged along an inclined surface of the window so as to extend from the front surface to the rear surface of the substrate body.
    Type: Application
    Filed: October 19, 2012
    Publication date: April 25, 2013
    Applicant: SK HYNIX INC.
    Inventor: SK hynix Inc.
  • Publication number: 20130100185
    Abstract: A process for forming a metal interconnection in an integrated circuit includes forming a first metal layer and a second metal layer on the first metal layer. Photoresist is placed on the second metal layer and patterned to form a mask. The second metal layer is etched. The mask is then removed and the first metal layer is patterned with the second metal layer acting as mask for the first metal layer.
    Type: Application
    Filed: October 25, 2011
    Publication date: April 25, 2013
    Applicant: STMICROELECTRONICS PTE LTD.
    Inventors: Jin Hao Chia, Yong Peng Yeo, Wei Leong Lim, Shi Min Veronica Goh, Mei Yu Muk
  • Publication number: 20130099250
    Abstract: An improved structure of semiconductor chips with enhanced die strength and a fabrication method thereof are disclosed. The improved structure comprises a substrate, an active layer, and a backside metal layer, in which the active layer is formed on the front side of the substrate and includes at least one integrated circuit; the backside metal layer is formed on the backside of the substrate, which fully covers the area corresponding to the area covered by the integrated circuits in the active layer. By using the specific dicing process of the present invention, the backside metal layer and the substrate can be diced tidily. Die cracking on the border between the substrate and the backside metal layer of the diced single chip can be prevented, and thereby the die strength can be significantly enhanced.
    Type: Application
    Filed: January 24, 2012
    Publication date: April 25, 2013
    Inventor: Chang-Hwang HUA
  • Publication number: 20130099386
    Abstract: A semiconductor memory device having a cell pattern formed on an interconnection and capable of reducing an interconnection resistance and a fabrication method thereof are provided. The semiconductor device includes a semiconductor substrate in which a cell area, a core area, and a peripheral area are defined and a bottom structure is formed, a conductive line formed on an entire structure of the semiconductor substrate, a memory cell pattern formed on the conductive line in the cell area, and a dummy conductive pattern formed on any one of the conductive line in the core area and the peripheral area.
    Type: Application
    Filed: August 29, 2012
    Publication date: April 25, 2013
    Inventor: Jang Uk LEE
  • Publication number: 20130100616
    Abstract: A microelectronic package can include a substrate having first and second opposed surfaces, and first and second microelectronic elements having front surfaces facing the first surface. The substrate can have a plurality of substrate contacts at the first surface and a plurality of terminals at the second surface. Each microelectronic element can have a plurality of element contacts at the front surface thereof. The element contacts can be joined with corresponding ones of the substrate contacts. The front surface of the second microelectronic element can partially overlie a rear surface of the first microelectronic element and can be attached thereto. The element contacts of the first microelectronic element can be arranged in an area array and are flip-chip bonded with a first set of the substrate contacts. The element contacts of the second microelectronic element can be joined with a second set of the substrate contacts by conductive masses.
    Type: Application
    Filed: October 23, 2012
    Publication date: April 25, 2013
    Applicant: TESSERA, INC.
    Inventor: Tessera, Inc.
  • Patent number: 8426981
    Abstract: A composite layered chip package includes first and second subpackages that are stacked. Each subpackage includes a main body and wiring. The main body includes: a main part having a top surface and a bottom surface; first terminals disposed on the top surface of the main part; and second terminals disposed on the bottom surface of the main part. The first and second terminals are electrically connected to the wiring. The first and second subpackages are arranged in a specific relative positional relationship, different from a reference relative positional relationship, with each other.
    Type: Grant
    Filed: September 22, 2011
    Date of Patent: April 23, 2013
    Assignees: Headway Technologies, Inc., SAE Magnetics (H.K.) Ltd.
    Inventors: Yoshitaka Sasaki, Hiroyuki Ito, Atsushi Iijima
  • Patent number: 8426983
    Abstract: A semiconductor device may include: first and second wiring boards separated from each other via a gap; a semiconductor chip; first and second groups of electrode pads; and first and second groups of connection pads. The semiconductor chip is fixed to upper surfaces of the first and second wiring boards, and has a first portion adjacent to the gap. The first and second groups of electrode pads are disposed on the first portion. The first and second groups of electrode pads are aligned adjacent to side surfaces of the first and second wiring boards, respectively. The side surfaces of the first and second wiring boards face each other. The first and second groups of connection pads are disposed on lower surfaces of the first and second wiring boards, respectively. The first and second groups of connection pads are aligned adjacent to the side surfaces of the first and second wiring boards, respectively.
    Type: Grant
    Filed: January 26, 2011
    Date of Patent: April 23, 2013
    Assignee: Elpida Memory, Inc.
    Inventors: Hiromasa Takeda, Satoshi Isa, Mitsuaki Katagiri
  • Patent number: 8426975
    Abstract: Provided is a semiconductor device having a wiring layer formed of damascene wiring. The semiconductor device includes: a first wiring having a width equal to or larger than 0.5 ?m; a second wiring adjacent to the first wiring and arranged with a space less than 0.5 ?m from the first wiring; and a third wiring adjacent to the second wiring and arranged with a space equal to or smaller than 0.5 ?m from the first wiring. In the semiconductor device, the second wiring and the third wiring are structured to have the same electric potential.
    Type: Grant
    Filed: July 30, 2012
    Date of Patent: April 23, 2013
    Assignee: Renesas Electronics Corporation
    Inventor: Daisuke Oshida
  • Publication number: 20130093094
    Abstract: Methods and apparatus for die assembly. A method includes forming a trench extending from an active surface of a semiconductor substrate comprising a plurality of integrated circuit dies having connector terminals extending from the active surface, the trench extending into, but not through, the semiconductor substrate; forming a protective layer overlying the active surface of the semiconductor substrate and the trench, and covering the lower portion of the connector terminals; opening a pre-dicing opening in the protective layer and within the trench; applying a tape over the active surface of the semiconductor wafer, the protective layer and the connector terminals; and performing an operation on a backside of the semiconductor substrate to remove material until the pre-dicing opening is exposed on the backside of the semiconductor wafer. An apparatus includes a semiconductor substrate with integrated circuits and a protective layer surrounding connector terminals of integrated circuits.
    Type: Application
    Filed: October 18, 2011
    Publication date: April 18, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Chung Sung, Yu-Chih Liu, Wei-Ting Lin, Chien-Hsiun Lee
  • Publication number: 20130093095
    Abstract: A semiconductor module includes a semiconductor having a semiconductor substrate, a first electrode formed on one surface of the semiconductor substrate, and a second electrode formed on an opposite surface of the semiconductor substrate. A first conductive member is in contact with the first electrode. A second conductive member is in contact with the second electrode. A third conductive member is in contact with the second conductive member and extends along the first conductive member. An insulating member provides insulation between the first conductive member and the third conductive member. The third conductive member is fixed to the first conductive member and the second conductive member by being sandwiched between the first conductive member and the second conductive member. The semiconductor device is fixed to the first conductive member and the second conductive member by being sandwiched between the first conductive member and the second conductive member.
    Type: Application
    Filed: September 13, 2012
    Publication date: April 18, 2013
    Applicant: TOYOTA JIDOSHA KABUSHIKI KAISHA
    Inventor: Norimune ORIMOTO
  • Publication number: 20130093097
    Abstract: A package-on-package (PoP) structure comprises a first package and a second package. The first package comprises a first die, a second die, and a core material. The core material has a first surface and a second surface. A first redistribution layer (RDL) is on the first surface, and a second RDL is on the second surface. The first die is disposed in the core material between the first surface and the second surface. The second die is coupled to one of the first RDL and the second RDL. The second package comprises a third die and an interposer. The interposer has a first side and a second side. The third die is coupled to the second side of the interposer. The first package is coupled to the second package by first electrical connectors coupled to the second side of the interposer and the first RDL.
    Type: Application
    Filed: October 12, 2011
    Publication date: April 18, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Chung-Shi Liu, Mirng-Ji Lii, Ming-Da Cheng, Chih-Wei Lin
  • Publication number: 20130093101
    Abstract: According to one embodiment, a semiconductor device is provided. The semiconductor device includes a package substrate; a first semiconductor chip mounted on the package substrate and adapted to include a plurality of first bonding pads arranged in a first order on an upper surface; a second semiconductor chip arranged on the first semiconductor chip and adapted to include a plurality of second bonding pads arranged in the first order on an upper surface; and first bonding wires configured to connect each of the plurality of first bonding pads and the plurality of second bonding pads.
    Type: Application
    Filed: March 22, 2012
    Publication date: April 18, 2013
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Masateru Saigusa, Masamitsu Oshikiri
  • Publication number: 20130093102
    Abstract: Semiconductor packages are provided. The semiconductor package includes a package substrate. A semiconductor chip structure is mounted on the package substrate and includes a plurality of semiconductor chips. A molding member covers the semiconductor chip structure and the package substrate. The plurality of semiconductor chips are vertically stacked and stepped toward one direction. A thickness of an uppermost semiconductor chip of the plurality of semiconductor chips is greater than an average thickness of the other semiconductor chips thereunder. Related methods are also provided.
    Type: Application
    Filed: September 5, 2012
    Publication date: April 18, 2013
    Inventors: EUN-HEE JUNG, Hee Chul Lee
  • Publication number: 20130093455
    Abstract: The disclosure describes a novel method and apparatus for testing TSVs in a single die or TSV connections in a stack of die.
    Type: Application
    Filed: October 11, 2012
    Publication date: April 18, 2013
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: TEXAS INSTRUMENTS INCORPORATED
  • Publication number: 20130092948
    Abstract: The semiconductor device having flip chip structure includes: an insulating substrate; a signal wiring electrode disposed on the insulating substrate; a power wiring electrode disposed on the insulating substrate or disposed so as to pass through the insulating substrate; a semiconductor chip disposed in flip chip configuration on the insulating substrate and comprising a semiconductor substrate, a source pad electrode and a gate pad electrode disposed on a surface of the semiconductor substrate, and a drain pad electrode disposed on a back side surface of the semiconductor substrate; agate connector disposed on the gate pad electrode; and a source connector disposed on the source pad electrode. The gate connector, the gate pad electrode and the signal wiring electrode are bonded, and the source connector, the source pad electrode and the power wiring electrode are bonded, by using solid phase diffusion bonding.
    Type: Application
    Filed: October 18, 2011
    Publication date: April 18, 2013
    Applicant: ROHM CO., LTD.
    Inventor: Takukazu OTSUKA
  • Publication number: 20130094301
    Abstract: A memory device includes a die package including a plurality of memory dies, an interface including an interface circuit, and a memory controller to control the interface with control data received from at least one die. The interface is to divide and multiplex an IO channel between the package and the controller into more than one channel using the data received from the at least one die. The interface includes a control input buffer to receive an enable signal through a control pad, a first input buffer to receive first data through a first IO pad in response to a first state of the enable signal, and a second input buffer to receive second data through a second IO pad in response to a second state of the enable signal. The interface further includes an input multiplexer to multiplex the first data and the second data to provide input data.
    Type: Application
    Filed: October 18, 2011
    Publication date: April 18, 2013
    Inventor: Oh Seung Min
  • Patent number: 8421235
    Abstract: The semiconductor device has a unit stack body including a plurality of units stacked on one another. Each unit includes a power terminal constituted of a lead part and a connection part. The connection part is formed with a projection and a recess. When the units are stacked on one another, the projection of one unit is fitted to the recess of the adjacent unit, so that the power terminals of the respective unit are connected to one another.
    Type: Grant
    Filed: June 30, 2011
    Date of Patent: April 16, 2013
    Assignee: Denso Corporation
    Inventors: Shigeo Ide, Akihiro Niimi
  • Patent number: 8421204
    Abstract: Disclosed are semiconductor die packages constructed from modules of embedded semiconductor dice and electrical components. In one embodiment, a semiconductor die package comprises a first module and a second module attached to the first module. One or more semiconductor dice are embedded in the first module, and one or more electrical components, such as surface-mounted components, are embedded in the second module. The first module may be formed by a lamination process, and the second module may be formed by a lamination process or a molding process. Patterned metal layers and vias provide electrical interconnections to the package and among the die and components of the package. The second module may be attached to the first module by coupling interconnect lands of separately manufactured modules to one another, or may be directly attached by lamination or molding.
    Type: Grant
    Filed: May 18, 2011
    Date of Patent: April 16, 2013
    Assignee: Fairchild Semiconductor Corporation
    Inventors: Yong Liu, Qiuxiao Qian, Yumin Liu
  • Patent number: 8420525
    Abstract: A semiconductor device with vertical current flow includes a body having a substrate made of semiconductor material. At least one electrical contact on a first face of the body. A metallization structure is formed on a second face of the body, opposite to the first face. The metallization structure is provided with metal vias, which project from the second face within the substrate so as to form a high-conductivity path in parallel with portions of said substrate.
    Type: Grant
    Filed: January 24, 2012
    Date of Patent: April 16, 2013
    Assignee: STMicroelectronics S.r.l.
    Inventors: Angelo Magriā€², Antonio Damaso Maria Marino
  • Patent number: 8421248
    Abstract: An electronic device includes a semiconductor device and a wiring substrate having a wiring pattern. The semiconductor device includes: a semiconductor chip having an electrode; a convex-shaped resin protrusion provided on a surface of the semiconductor chip, the surface having the electrode; and wiring having a plurality of electrical coupling sections which are aligned on the resin protrusion and electrically coupled to the electrode. The semiconductor device is mounted to the wiring substrate so that the electrical coupling sections and the wiring pattern are brought into contact and electrically coupled with each other. The plurality of electrical coupling sections brought into contact with the wiring pattern include curved or bent shapes projecting in a longitudinal direction of the resin protrusion.
    Type: Grant
    Filed: January 9, 2012
    Date of Patent: April 16, 2013
    Assignee: Seiko Epson Corporation
    Inventor: Shuichi Tanaka
  • Patent number: 8421227
    Abstract: A semiconductor chip structure includes a semiconductor substrate, an circuit structure, a passivation layer, a first adhesion/barrier layer, a metal cap and a metal layer. The semiconductor substrate has multiple electric devices located on a surface layer of a surface of the substrate. The circuit structure had multiple circuit layers electrically connecting with each other and electrically connecting with the electric devices. One of the circuit layers has multiple pads. The passivation layer is located on the circuit structure and has multiple openings penetrating through the passivation layer. The openings expose the pads. The first adhesion/barrier layer is over the pads and the passivation layer. The metal cap is located on the first adhesion/barrier layer and the passivation layer. The metal layer is on the metal layer.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: April 16, 2013
    Assignee: Megica Corporation
    Inventors: Mou-Shiung Lin, Jin-Yuan Lee
  • Patent number: 8421206
    Abstract: Provided is a semiconductor device in which a connection between connection terminals and land of the semiconductor device can be checked with the semiconductor device kept in a sound condition, the connection not being allowed to be checked with a semiconductor chip. The semiconductor device of the present invention includes: a package substrate; a semiconductor chip mounted on the package substrate; a first land formed in a first principal surface of the package substrate; a second land formed in a second principal surface of the package substrate; first connection terminals connected to the second land and having the connection thereto not allowed to be checked with the semiconductor chip; a connection interconnection for connecting the first land and the second land; a second connection terminal formed in the second principal surface of the package substrate; and a branch interconnection for connecting the connection interconnection and the second connection terminal.
    Type: Grant
    Filed: November 19, 2009
    Date of Patent: April 16, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Naoto Akiyama, Toshiaki Umeshima
  • Publication number: 20130087931
    Abstract: A semiconductor wafer has a plurality of semiconductor die distributed over a surface area. The semiconductor die are singulated from the semiconductor wafer. The semiconductor die are mounted to a carrier to form a reconstituted semiconductor wafer. The carrier has a surface area 10-50% larger than the surface area of the semiconductor wafer. The number of semiconductor die mounted to the carrier is greater than a number of semiconductor die singulated from the semiconductor wafer. The reconstituted wafer is mounted within a chase mold. The chase mold is closed with the semiconductor die disposed within a cavity of the chase mold. An encapsulant is dispersed around the semiconductor die within the cavity under temperature and pressure. The encapsulant can be injected into the cavity of the chase mold. The reconstituted wafer is removed from the chase mold. An interconnect structure is formed over the reconstituted wafer.
    Type: Application
    Filed: November 14, 2011
    Publication date: April 11, 2013
    Applicant: STATS CHIPPAC, LTD.
    Inventors: Yoke Hor Phua, Yung Kuan Hsiao
  • Publication number: 20130087880
    Abstract: A MEMS logic device comprising agate which pivots on a torsion hinge, two conductive channels on the gate, one on each side of the torsion hinge, source and drain landing pads under the channels, and two body bias elements under the gate, one on each side of the torsion hinge, so that applying a threshold bias between one body bias element and the gate will pivot the gate so that one channel connects the respective source and drain landing pad, and vice versa. An integrated circuit with MEMS logic devices on the dielectric layer, with the source and drain landing pads connected to metal interconnects of the integrated circuit. A process of forming the MEM switch.
    Type: Application
    Filed: October 8, 2012
    Publication date: April 11, 2013
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: TEXAS INSTRUMENTS INCORPORATED
  • Publication number: 20130087930
    Abstract: One or more embodiments relate to a semiconductor structure, comprising: a conductive pad, the conductive pad including a plurality of laterally spaced apart gaps diposed at least partially through the conductive pad.
    Type: Application
    Filed: October 5, 2011
    Publication date: April 11, 2013
    Inventor: Dirk Meinhold