Dimension Patents (Class 356/625)
  • Publication number: 20110013200
    Abstract: A reference sphere detecting device used for a reference sphere position detecting device comprises an optical unit having a laser light source, a collective lens for collecting light from the laser light source and irradiating the light to a reference sphere positioned at or near a front focal position, a first image pickup device for receiving and detecting reflected light from the reference sphere, the first image pickup device being disposed at a rear focal position of the collective lens; driving units for rotationally moving the optical unit about a reference point; and a control unit for controlling the driving units on the basis of the position at which the reflected light is received and rotationally moving the optical unit so that the reflected light reaches a predetermined reference position of the first image pickup device.
    Type: Application
    Filed: September 1, 2010
    Publication date: January 20, 2011
    Applicant: Nikon Corporation
    Inventor: Kinya KATO
  • Publication number: 20110007329
    Abstract: A method of inspecting a structure. The method includes preparing preliminary spectrums of reference diffraction intensities according to critical dimensions of reference structures, obtaining a linear spectrum from the preliminary spectrums in a set critical dimension range, radiating light to respective measurement structures formed on a substrate, measuring measurement diffraction intensities of the light diffracted by the measurement structures, and obtaining respective critical dimensions of the measurement structures from the measurement diffraction intensities using the linear spectrum.
    Type: Application
    Filed: March 30, 2010
    Publication date: January 13, 2011
    Inventors: Seouk-Hoon Woo, Jeong-Ho Yeo, Byeong-Ok Cho, Joo-On Park, Chang-Min Park, Won-Sun Kim
  • Publication number: 20110007328
    Abstract: The invention relates to a device for optical distance measurement, particularly to a handheld device, comprising a transmission unit (12) with a light source (17, 18) for emitting optical measurement radiation (13, 20, 22) onto a target object (15), and comprising a receiving unit (14) arranged at a distance from the optical axis (38) of the transmission unit (12) and equipped with at least one optical detector (54) for receiving optical radiation (16, 49, 50) reflected from the target object (15). According to the invention, the detector (54) of the receiving unit (14) comprises a detection surface (66), the optical surface of which has varying optical sensitivity.
    Type: Application
    Filed: October 14, 2008
    Publication date: January 13, 2011
    Applicant: Robert Bosch GMBH
    Inventors: Peter Wolf, Matthieu Richard, Andreas Braun, Joerg Stierle, Bjoern Haase, Kai Renz
  • Patent number: 7869026
    Abstract: A method for evaluating three-dimensional (3-D) coordinate system measurement accuracy of an optical 3-D measuring system using targeted artifacts is provided. In this regard, an exemplary embodiment of a method for evaluating 3-D coordinate system measurement accuracy using targeted artifacts comprises: taking a series of measurements from different positions and orientations using target dots on a targeted artifact with an optical 3-D measuring system; and calculating measurement errors using the series of measurements. An exemplary embodiment of a targeted artifact used with the method includes a base and target dots located on the base.
    Type: Grant
    Filed: December 21, 2007
    Date of Patent: January 11, 2011
    Assignee: United Technologies Corp.
    Inventors: Jesse R. Boyer, Jeffry K. Pearson, Randall W. Joyner, Joseph D Drescher
  • Patent number: 7864343
    Abstract: A method of preparation of reference data for measuring the profile of a patterned structure for use in control of a manufacturing process, the method including: providing a model for generating profiles based on the manufacturing process; generating the profiles by simulation of the manufacturing process; and preparing diffraction signal reference data corresponding to the generated profiles.
    Type: Grant
    Filed: November 24, 2009
    Date of Patent: January 4, 2011
    Assignee: Nova Measuring Instruments Ltd.
    Inventors: Moshe Finarov, Boaz Brill
  • Patent number: 7864344
    Abstract: A method and system are presented for determining a line profile in a patterned structure, aimed at controlling a process of manufacture of the structure. The patterned structure comprises a plurality of different layers, the pattern in the structure being formed by patterned regions and un-patterned regions. At least first and second measurements are carried out, each utilizing illumination of the structure with a broad wavelengths band of incident light directed on the structure at a certain angle of incidence, detection of spectral characteristics of light returned from the structure, and generation of measured data representative thereof. The measured data obtained with the first measurement is analyzed, and at least one parameter of the structure is thereby determined. Then, this determined parameter is utilized, while analyzing the measured data obtained with the second measurements enabling the determination of the profile of the structure.
    Type: Grant
    Filed: August 10, 2010
    Date of Patent: January 4, 2011
    Assignee: Nova Measuring Instruments Ltd.
    Inventors: Moshe Finarov, Boaz Brill
  • Publication number: 20100315654
    Abstract: An apparatus and systems used to measure the height of a liquid in a well or a tank and in particular to those apparatus and systems which use coherent light or laser for such measurement. The apparatus and systems will also measure respective elevations of multiple overlying immiscible fluid layers. The method entails generating coherent light or laser beams and the timing of its travel to either a liquid surface or floating object and its return to a receiving sensor. The timing of the receipt of the signals is processed to determine the relative height of a fluid surface in a chemical or fuel tank or groundwater well. The apparatus and systems provide multiple improvements over current methods of measuring fluid levels in wells and tanks. Improvements include increased accuracy due to reduction in human error when making measurements and elimination of environmental hazards involving the release and spreading of contaminants in soil and groundwater as can be caused by several current measurement methods.
    Type: Application
    Filed: June 10, 2010
    Publication date: December 16, 2010
    Inventor: Marcia Jada Berger
  • Patent number: 7853426
    Abstract: A device for determining the category of mail of a mailpiece, which device comprises: an stand designed to receive said mailpiece; at least one gauge fastened to said stand and provided with a slot of predetermined length and of predetermined height, which length and which height are suitable for defining a determined maximum width and a determined maximum thickness for the mailpiece; means for automatically estimating a length of the mailpiece depending on its position on the stand, and relative to the gauge; and means for acting, on the basis of that single estimate of the length of the mailpiece, to deliver, to a franking machine, information about the category of mail to which said mailpiece belongs.
    Type: Grant
    Filed: May 27, 2008
    Date of Patent: December 14, 2010
    Assignee: NEOPOST Technologies
    Inventor: Michel Fajour
  • Patent number: 7852493
    Abstract: There is described a method and an apparatus for the optical 3D digitization of bodies and body parts which reveal non-visible regions which therefore cannot be detected by the 3D digitizer. A mechanical aid is fixed at these regions and protrudes into the measurement space visible for the 3D digitizer. On this visible part, it is provided with marks and is digitized together with the remaining, visible body parts. From the spatial position of the marks of these aids, important geometrical information of the non-visible parts, such as the spatial position, circumferential dimensions, etc., can be calculated, and the 3D model of the body or body part incomplete at these points can be completed therewith. Two applications from the field of orthopedics are described by way of example.
    Type: Grant
    Filed: February 3, 2005
    Date of Patent: December 14, 2010
    Assignee: corpus.e AG
    Inventors: Robert Massen, Dirk Rutschmann, Holger Reinhardt
  • Patent number: 7834983
    Abstract: A three-dimensional measurement system using an IGPS includes a rescale bar having linear scales thereon, a linear encoder for measuring an absolute length within which the linear encoder moves on the rescale bar, a plurality of optical transmitters that radiates pan beams, and a vector bar having one end attached to the linear encoder, and having a receiver to detect the pan beams radiated from the optical transmitters, the vector bar acquiring coordinates of two points where the vector bar moves by using the receiver, and measuring a relative length from the coordinates. A ratio between the absolute length and the relative length is applied in rescaling an actual distance between two positions to be measured.
    Type: Grant
    Filed: September 21, 2007
    Date of Patent: November 16, 2010
    Assignee: Samsung Heavy Ind. Co., Ltd.
    Inventors: Se-Hwan Song, Seong-Youb Chung, Sung-Han Kim, Jin-Hyung Park, Young-Jun Park, Jae-Hoon Kim
  • Patent number: 7830530
    Abstract: A device for optical measuring of grains for analysis of the quality of the grains, comprises a feeder which is arranged to feed at least one grain in a direction of transport, a light source which is arranged to illuminate the grain along a line, a detector which is arranged to detect reflection from the surfaces of the grain and an analyzer which is arranged to analyze the detected reflection in order to determine a height profile of the grain along the line and to determine three-dimensional surface topographical information on the grain based on a plurality of determined height profiles as the grain is transported. The device further comprises an arrangement used in generating a two-dimensional image and the analyzer is arranged to determine a quality of the grain based on the three-dimensional surface information and the two-dimensional image of the same grain.
    Type: Grant
    Filed: January 30, 2007
    Date of Patent: November 9, 2010
    Assignee: Foss Analytical AB.
    Inventor: Tomas Jonasson Bjäräng
  • Patent number: 7826072
    Abstract: The present application discloses a method of model-based measurement of semiconductor device features using a scatterometer system. The method includes at least the following steps. A cost function is defined depending upon a plurality of variable parameters of the scatterometer system and upon a plurality of variable parameters for computer-implemented modeling to determine measurement results. Constraints are established for the plurality of variable parameters of the scatterometer system and for the plurality of variable parameters for the computer-implemented modeling. A computer-implemented optimization procedure is performed to determine an optimized global set of parameters, including both the variable parameters of the scatterometer system and the variable parameters for the computer-implemented modeling, which result in a minimal value of the cost function. Finally, the optimized global set of parameters is applied to configure the scatterometer system and the computer-implemented modeling.
    Type: Grant
    Filed: December 6, 2007
    Date of Patent: November 2, 2010
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Daniel C. Wack, Andrei Veldman, Edward R. Ratner, John Hench, Noah Bareket
  • Patent number: 7826071
    Abstract: A gallery of seed profiles is constructed and the initial parameter values associated with the profiles are selected using manufacturing process knowledge of semiconductor devices. Manufacturing process knowledge may also be used to select the best seed profile and the best set of initial parameter values as the starting point of an optimization process whereby data associated with parameter values of the profile predicted by a model is compared to measured data in order to arrive at values of the parameters. Film layers over or under the periodic structure may also be taken into account. Different radiation parameters such as the reflectivities Rs, Rp and ellipsometric parameters may be used in measuring the diffracting structures and the associated films. Some of the radiation parameters may be more sensitive to a change in the parameter value of the profile or of the films then other radiation parameters.
    Type: Grant
    Filed: October 8, 2007
    Date of Patent: November 2, 2010
    Assignee: KLA-Tencor Corporation
    Inventors: Andrei V. Shchegrov, Anatoly Fabrikant, Mehrdad Nikoonahad, Ady Levy, Daniel C. Wack, Noah Bareket, Walter Mieher, Ted Dziura
  • Publication number: 20100271638
    Abstract: There is provided a transmissive dimension measuring device in which a user can intuitively and easily adjust optical axes of a projector and an optical receiver, and in which a suitable action can be immediately taken when contamination is attached to a light projecting surface of the projector and a light receiving surface of the optical receiver. An incident light position display unit provided on the optical receiver expresses a light projecting spot in a pseudo manner by making an LED corresponding to an incident light position turn on, the light projecting spot being incident on the optical receiver arranged with a predetermined interval to the projector which projects light. The incident light position display unit is provided on an upper surface side opposite to a bottom surface that is a surface on which the optical receiver is installed on a base.
    Type: Application
    Filed: March 15, 2010
    Publication date: October 28, 2010
    Applicant: KEYENCE CORPORATION
    Inventors: Tomonari Torii, Noriyoshi Yamane, Ryoichi Tsumori, Kentaro Yamazaki
  • Publication number: 20100271621
    Abstract: Methods and systems for monitoring semiconductor fabrication processes are provided. A system may include a stage configured to support a specimen and coupled to a measurement device. The measurement device may include an illumination system and a detection system. The illumination system and the detection system may be configured such that the system may be configured to determine multiple properties of the specimen. For example, the system may be configured to determine multiple properties of a specimen including: but not limited to, critical dimension and overlay misregistration; defects and thin film characteristics; critical dimension and defects; critical dimension and thin film characteristics; critical dimension, thin film characteristics and defects; macro defects and micro defects; flatness, thin film characteristics and defects; overlay misregistration and flatness; an implant characteristic and defects; and adhesion and thickness.
    Type: Application
    Filed: July 5, 2010
    Publication date: October 28, 2010
    Applicant: KLA-TENCOR TECHNOLOGIES CORPORATION
    Inventors: Ady Levy, Kyle A. Brown, Rodney Smedt, Gary Bultman, Mehrdad Nikoonahad, Dan Wack, John Fielden, Ibrahim Abdul-Halim
  • Patent number: 7821654
    Abstract: Instead of constructing a full multi-dimensional look-up-table as a model to find the critical dimension or other parameters in scatterometry, regression or other optimized estimation methods are employed starting from a “best guess” value of the parameter. Eigenvalues of models that are precalculated may be stored and reused later for other structures having certain common characteristics to save time. The scatterometric data that is used to find the value of the one or more parameter can be limited to those at wavelengths that are less sensitive to the underlying film characteristics. A model for a three-dimensional grating may be constructed by slicing a representative structure into a stack of slabs and creating an array of rectangular blocks to approximate each slab. One dimensional boundary problems may be solved for each block which are then matched to find a two-dimensional solution for the slab.
    Type: Grant
    Filed: March 24, 2009
    Date of Patent: October 26, 2010
    Assignee: KLA-Tencor Corporation
    Inventors: Anatoly Fabrikant, Guoheng Zhao, Daniel C. Wack, Mehrdad Nikoonahad
  • Patent number: 7821653
    Abstract: A portable electronic device for measuring a distance D1 between two points E1, E2, includes a visible and rotatable light emitter, an angle computing unit, a distance computing unit and an output unit. The light emitter generates a rotatable light beam capable of rotating from pointing in an initial direction to project to the point E1. The initial direction is substantially perpendicular to a line defined by the points E1, E2. A distance H1 between the light emitter and the line, and a distance S1 from the point E2 to the point of intersection of the line defined by the first and second points E1, E2 with the initial direction, are known. The angle computing unit computes an angle defined by the visible light beam projecting to the point E1 and the initial direction according to the rotatable light beam. The distance computing unit computes the distance D1 based on the angle, the distance H1 and the distance S1.
    Type: Grant
    Filed: March 7, 2009
    Date of Patent: October 26, 2010
    Assignees: Hong Fu Jin Precision Industry (ShenZhen) Co., Ltd., Hon Hai Precision Co., Ltd.
    Inventor: Jing-Bo Yu
  • Patent number: 7821652
    Abstract: A method for focusing discrete points on an under-measured object is provided. The method includes: (a) receiving an image of the object, selecting measurement points on the image, and obtaining X, Y coordinate values of the measurement points; (b) searching a solid point on the under-measured object according to the X, Y coordinate value of one of the measurement points, wherein the solid point corresponds to the measuring point; (c) emitting a laser light to the solid point for computing a vertical distance “h” between the laser aid and the solid point; (d) computing a Z coordinate value of the measurement point according to the “h”; repeating step (b) to step (d) until all the Z coordinate values of the measurement points have been computed; and (e) focusing the solid points according to the X, Y and Z coordinate values of the measurement points. A related system is also provided.
    Type: Grant
    Filed: December 29, 2007
    Date of Patent: October 26, 2010
    Assignees: Hong Fu Jin Precision Industry (ShenZhen) Co., Ltd., Hon Hai Precision Industry Co., Ltd.
    Inventors: Chih-Kuang Chang, Li Jiang
  • Patent number: 7817288
    Abstract: A device for measuring profiles of an electron beam and a laser beam is provided with a profile measuring device 30 for measuring cross-section profiles of the beams in the vicinity of a collision position where an electron beam 1 and a laser beam 3 are brought into frontal collision, and a moving device 40 for continuously moving the profile measuring device in a predetermined direction which substantially coincides with the axial directions of the beams. Furthermore, based on the cross-section profiles measured by the profile measuring device, the position of the profile measuring device in the predetermined direction, and the oscillation timings of the beams, temporal changes in three-dimensional profiles of the electron beam and the laser beam are created by a profile creating device 50.
    Type: Grant
    Filed: March 7, 2007
    Date of Patent: October 19, 2010
    Assignees: IHI Corporation, The University of Tokyo, National Institute of Radiological Sciences
    Inventors: Daisuke Ishida, Hiroyuki Nose, Namio Kaneko, Mitsuru Uesaka, Fumito Sakamoto, Katsuhiro Dobashi
  • Publication number: 20100259769
    Abstract: An optical measuring apparatus includes a light transmission unit, a light reception unit, a measurement value calculation unit, and a correction unit. The light transmission unit forms a beam of light that focuses in a measurement area where a measurement target object is placed and scans the measurement area with the beam of light. The light reception unit receives the beam of light that has passed through the measurement area and outputs a received-light signal on the basis of the received beam of light. The measurement value calculation unit calculates a measurement value that represents the dimension of the measurement target object on the basis of the received-light signal. The correction unit corrects the measurement value on the basis of the amount of change in the strength of the received-light signal per unit of time of scanning the beam of light.
    Type: Application
    Filed: April 13, 2010
    Publication date: October 14, 2010
    Applicant: MITUTOYO CORPORATION
    Inventor: Masanobu Kataoka
  • Publication number: 20100245850
    Abstract: A system for indirectly measuring a geometric dimension related to an opening in an apertured exterior surface of a part such as an ammunition case based on direct measurements of the part when fixtured at a measurement station is provided. The system includes first and second holding devices for holding the part therebetween in a part-retaining position in which the part is firmly held between the devices at its end surfaces. In one embodiment, a portion of each of the holding devices extends into its respective opening in the part-retaining position. The system also includes a head apparatus which has a plurality of radiation sources for successively directing arrays of planes of radiation at the holding devices and at the part, and a plurality of receiver modules for measuring the amount of radiation present in unobstructed planar portions of the planes to obtain holding device and part signals.
    Type: Application
    Filed: March 27, 2009
    Publication date: September 30, 2010
    Applicant: GII ACQUISITION, LLC DBA GENERAL INSPECTION, LLC
    Inventors: Brett J. Lee, Jacob A. McLean, Michael G. Nygaard
  • Publication number: 20100245851
    Abstract: A method and a system for optical three-dimensional (3-D) digitalization of physical objects, suitable for moving scenes, unattached to locating devices, utilizing structured light in the form of a plurality of overlapped patterns free of any intensity features, capable of obtaining frames of 3-D coordinates and textural color at video frame rates.
    Type: Application
    Filed: March 27, 2010
    Publication date: September 30, 2010
    Applicant: MICROMETRIC VISION TECHNOLOGIES
    Inventor: Nicolae Teodorescu
  • Patent number: 7804606
    Abstract: A method for measuring a distance D2 between two points includes following steps. A first surface of a portable electronic device is parallel to a line defined by the two points. A distance D2 between the first surface and the line is obtained. A visible light beam B1 is rotated from an initial direction substantially perpendicular with the first surface and the line to direct at the point E1. A first angle defined by the visible light beam B1 striking the point E1 and the initial direction is computed. A visible light beam B2 is rotated from an initial direction to strike the point E2. A second angle defined by the visible light beam B2 striking the point E2 and the initial direction is computed. A distance D1 is computed based on the distance D2, the first angle and the second angle. The distance D1 is outputted.
    Type: Grant
    Filed: March 3, 2009
    Date of Patent: September 28, 2010
    Assignees: Hong Fu Jin Precision Industry (ShenZhen) Co., Ltd., Hon Hai Precision Industry Co., Ltd.
    Inventors: Jing-Bo Yu, Liang Xie, Li-Yan Zheng, Guang-Yu Pei
  • Publication number: 20100225757
    Abstract: An optical system for measuring an irregularly shaped object includes a dimensioning station having a base, a first wall extending from the base, and a second wall extending from the base. A collimated light is passed from each of first and second collimated light sources arranged generally parallel to the base, illuminating the first and second walls and defining first and second shadows, respectively. A camera is arranged to obtain image data representing each of the first and second shadows. The system is configured to collect the image data for determining at least one dimension of an object from each of the first and second shadows. Each of the first and second collimated light sources may be a light with a collimating lens arranged between the light and the respective wall. The light source may be an LED and the collimating lens may be a collimating Fresnel lens.
    Type: Application
    Filed: March 4, 2009
    Publication date: September 9, 2010
    Applicant: Hand Held Products, Inc.
    Inventors: Jingquan Li, William H. Havens
  • Publication number: 20100225931
    Abstract: A surface (104) is detected repeatedly be a detector row (102) of at least one detector (100), the direction of the detector row (102) being the same as the surface's (104) primary direction of movement, and simultaneously a distance between the surface (104) and the detector (100) is detected to produce enlargement data and response rows. Successive response rows are arranged into a response matrix, and the direction of at least one curve in the matrix is determined. Translation of the surface (104) is determined in response matrices formed by means of the direction or directions of at least one curve or curve portion on the basis of enlargement data.
    Type: Application
    Filed: January 30, 2007
    Publication date: September 9, 2010
    Applicant: VisiCaMet Oy
    Inventor: Hannu Jokinen
  • Patent number: 7791740
    Abstract: A method and system are presented for determining a line profile in a patterned structure, aimed at controlling a process of manufacture of the structure. The patterned structure comprises a plurality of different layers, the pattern in the structure being formed by patterned regions and un-patterned regions. At least first and second measurements are carried out, each utilizing illumination of the structure with a broad wavelengths band of incident light directed on the structure at a certain angle of incidence, detection of spectral characteristics of light returned from the structure, and generation of measured data representative thereof. The measured data obtained with the first measurement is analyzed, and at least one parameter of the structure is thereby determined. Then, this determined parameter is utilized, while analyzing the measured data obtained with the second measurements enabling the determination of the profile of the structure.
    Type: Grant
    Filed: February 20, 2009
    Date of Patent: September 7, 2010
    Assignee: Nova Measuring Instruments Ltd.
    Inventors: Moshe Finarov, Boaz Brill
  • Publication number: 20100220245
    Abstract: A reflection detection apparatus includes: a light-emitting surface; a detection light output portion to obliquely emit detection light from an emission area as a part of the light-emitting surface; a plurality of light-receiving devices to selectively receive, as reflected detection light, the detection light that is emitted to an external object from the emission area and enters the light-emitting surface with a predetermined angle after being reflected by the external object; and a height detection portion to obtain a height of a spot at which the detection light is reflected by the external object using positional information of the light-receiving device which has received the reflected detection light and positional information of the emission area, the height being a distance from the light-emitting surface.
    Type: Application
    Filed: February 18, 2010
    Publication date: September 2, 2010
    Applicant: Sony Corporation
    Inventor: Ying Bao Yang
  • Patent number: 7783376
    Abstract: A system for measuring a hole of a surface may include at least one light emitting member for emitting varied intensity flashes of light towards the hole of the surface. The system may further include a projector for projecting light beams onto the surface. The system may additionally include at least one camera for taking at least one picture of the hole of the surface each time the at least one light emitting member emits a flash of light towards the hole of the surface, and each time the projector projects light beams onto the surface. The system may also include a computer for determining measurements of the hole of the surface utilizing the at least one picture of the hole and Photogrammetry.
    Type: Grant
    Filed: February 8, 2008
    Date of Patent: August 24, 2010
    Assignee: The Boeing Company
    Inventors: Bobby J. Marsh, Michael A. Lazar
  • Publication number: 20100206384
    Abstract: An apparatus for checking mechanical component parts, such as tools in machine tools, uses optical devices for emitting and receiving a light beam, for example a laser beam, and sensors for detecting the interruption of such light beam. A protection device for at least one of the optical devices includes pneumatic conduits and a nozzle outputting an air stream from a plurality of holes located around the central conduit through which the light beam passes, so generating a tubular shield which embraces the light beam. The protection device further includes a shutter which can be displaced from a rest position, wherein the central conduit is closed and the inside of the protection device is pressurized, to a working position, wherein the nozzle delivers the air stream in the form of a tubular shield. Passing from the rest position to the working position, the shutter assumes an intermediate transit position in which an air blast is outputted through the central conduit for a short time interval.
    Type: Application
    Filed: September 11, 2008
    Publication date: August 19, 2010
    Applicant: MARPOSS SOCIETA ' PER AZIONI
    Inventors: Samuele Martelli, Roberto Bruni
  • Publication number: 20100201961
    Abstract: A system for improving substrate critical dimension uniformity is described. The system includes an exposing means for exposing a plurality of mask patterns on a first plurality of substrates at predetermined locations with common splits of focus ({Fj}) and exposure dose ({Ek}) for each of the first plurality of substrates to form a plurality of perturbed wafers. A measuring means is provided for measuring a critical dimension of the plurality of mask patterns at each of the predetermined locations for each of the plurality of perturbed wafers. An averaging means is provided for averaging the critical dimension measured at each of the predetermined locations over the plurality of perturbed wafers to form a perturbed critical dimension map. A second measuring means is provided for measuring a sidewall angle of the plurality of mask patterns at each of the predetermined locations for each of the plurality of perturbed wafers.
    Type: Application
    Filed: April 23, 2010
    Publication date: August 12, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Shinn-Sheng Yu, Chih-Ming Ke, Jacky Huang, Chun-Kuang Chen, Tsai-Sheng Gau
  • Patent number: 7774075
    Abstract: Methods and apparatus for determining an object's three-dimensional location (i.e. real world coordinates) using the audio-video infrastructure of a 3G cellular phone or a 3C (Computer, Communications, Consumer) electronic device. A first detection device (e.g. a camera) is used to capture images of the objects. The captured image data is used to compute location data of the object in a first two-dimensional plane. A second detection device (e.g. microphone or infrared detector) may be used to collect additional location data in a second plane, which when combined with image data from the captured images allows the determination of the real world coordinates (x, y, z) of the object. The real-world coordinate data may be used in various applications. If the size of an object of interest is known or can be calculated, and the size of the projected image does not vary due to rotation of the object, a single camera (e.g.
    Type: Grant
    Filed: June 30, 2005
    Date of Patent: August 10, 2010
    Inventor: Julius J. Y. Lin
  • Patent number: 7773209
    Abstract: Improved method and apparatus for machine vision. One embodiment provides automated imaging and analysis, optionally including Scheimpflug's condition on the pattern projector, telecentric imaging and projecting, an IR filter, a mask to constrain observed illumination, and/or a sine-wave projection pattern for more accurate results. Another embodiment provides circuitry for a machine-vision system. Another embodiment provides a machine-vision system, optionally including accommodation of random orientation of parts in trays, irregular location of features being inspected, crossed pattern projectors and detectors for shadow reduction, detection of substrate warpage as well as ball-top coplanarity, two discrete shutters (or flash brightnesses) interleaved (long shutter for dark features, short shutter for bright features).
    Type: Grant
    Filed: March 3, 2009
    Date of Patent: August 10, 2010
    Inventors: Joshua J. Hackney, Arye Malek, Franz W. Ulrich, John B. Estridge
  • Patent number: 7764388
    Abstract: A system and method for determining dimensions of a package during imaging for supply chain management is provided. The system and method utilize a value of an auto focus control voltage when an image of a package is in focus to calculate a magnification ratio. The calculated magnification ratio is used to multiply the dimensions of the package image to obtain the dimensions of the package.
    Type: Grant
    Filed: December 31, 2007
    Date of Patent: July 27, 2010
    Assignee: Honeywell International Inc.
    Inventor: Kenneth L. Addy
  • Patent number: 7760360
    Abstract: A method is provided for monitoring a photolithographic process in which a substrate is patterned to form (i) a scatterometry target having a plurality of parallel elongated features, and desirably, (ii) other features each having at least one of a microelectronic function or a micro-electromechanical function. Desirably, each elongated feature of the scatterometry target has a length in a lengthwise direction and a plurality of stress-relief features disposed at a plurality of positions along the length of each elongated feature. A return signal is detected in response to illumination of the scatterometry target. The return signal can be used to determine a result of the photolithographic process.
    Type: Grant
    Filed: June 18, 2007
    Date of Patent: July 20, 2010
    Assignee: International Business Machines Corporation
    Inventors: Charles N. Archie, Matthew J. Sendelbach
  • Publication number: 20100177192
    Abstract: A three-dimensional measuring device has an irradiation device that irradiates a light pattern having a striped light intensity distribution on a measurement object, an imaging device that images reflected light from the measurement object irradiated by the light pattern to obtain image data, an image processing device that performs measurement of height at various coordinate positions on the measurement object based on the image data imaged by the imaging device, and a correction calculation device that corrects of a distortion that occurs due to a field angle of a lens of the imaging device relative to height data and coordinate data of an measurement object point on the measurement object measured by the image processing device, by correction based on at least a height data of the imaging device and an irradiation angle data of the pattern light irradiated on the measurement object.
    Type: Application
    Filed: January 13, 2010
    Publication date: July 15, 2010
    Applicant: CKD CORPORATION
    Inventor: Hiroyuki Ishigaki
  • Patent number: 7756321
    Abstract: Shims used to join part assemblies are automatically designed and fabricated without the need for fitting part assemblies together in order to determine the exact dimensions of voids filled by the shims. The locations of key features on part assemblies are surveyed using a merged photogrammetry and laser tracking technique that generate the dimensions of a virtual shim. The dimensions of the virtual shim are contained in a digital file that can be used to automatically fabricate the shim using automated fabrication equipment such as a CNC machining center. The automated virtual shim design may be modified to reflect the effect of part assembly fit on performance characteristics of the aircraft.
    Type: Grant
    Filed: February 28, 2007
    Date of Patent: July 13, 2010
    Assignee: The Boeing Company
    Inventors: Bobby J. Marsh, Thomas Vanderwiel, Kinson VanScotter, Michael Thompson
  • Patent number: 7755775
    Abstract: Apparatus and method for examining a sample with a broadband radiation while preserving a small spot and low wave front distortion. The apparatus has a broadband source for generating the broadband radiation and a first reflective optics that employ toroidal mirrors that are barrel or donut-shaped and may be placed in a crossed or parallel arrangement for producing a broadband test beam that is guided to the sample such that it is incident on it at a small spot. A sampling aperture is provided for filtering a certain center portion from the broadband test beam. A second reflective optics is provided for shaping a reflected response beam from the broadband radiation that is reflected from the spot. The response beam is delivered by second reflective optics to a detector for examination. The apparatus and method can be applied to improve wave front distortion in reflectance measurements and for performing transmittance measurements with chromatic distortion compensation.
    Type: Grant
    Filed: October 3, 2006
    Date of Patent: July 13, 2010
    Assignee: n&k Technology, Inc.
    Inventor: Guoguang Li
  • Patent number: 7756661
    Abstract: A method for measuring a dimension of a pattern formed on a semiconductor light-exposure mask includes performing a preparation arranged to form a first relationship between measured values of dimensions of opaque patterns and misalignments of detected edge positions, and a second relationship between measured values of dimensions of clear patterns and misalignments of detected edge positions, performing detection/measurement arranged to detect opposite two edge positions of a measurement target pattern, and to measure a dimension of the measurement target pattern bounded by the two edge positions and dimensions of adjacent patterns respectively adjacent to the two edge positions, and performing correction arranged to respectively correct two detected edge positions of the measurement target pattern, with reference to one or both of the first and second relationships formed in the preparation, and measured values of the dimensions obtained in the detection/measurement.
    Type: Grant
    Filed: February 1, 2007
    Date of Patent: July 13, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takeshi Yamane
  • Publication number: 20100171946
    Abstract: A microscope for total internal reflection microscopy. The microscope includes at least one light source configured to provide an illumination light to an illumination beam path for an evanescent illumination of a specimen so as to reflect the illumination light at an interface to the specimen or a specimen cover so as to return reflection light into the illumination beam path, an objective through which the illumination light and detection light are directable, a detection device, and a coupling device. The coupling device includes a mirror disposed in the illumination beam path. The mirror has a reflecting surface and a hole, the hole being configured to pass the illumination light there through so as to couple the illumination light into the illumination beam path.
    Type: Application
    Filed: August 11, 2006
    Publication date: July 8, 2010
    Applicant: LEICA MICROSYSTEMS CMS GMBH
    Inventor: Andreas Hecker
  • Patent number: 7751046
    Abstract: Methods and systems for monitoring semiconductor fabrication processes are provided. A system may include a stage configured to support a specimen and coupled to a measurement device. The measurement device may include an illumination system and a detection system. The illumination system and the detection system may be configured such that the system may be configured to determine multiple properties of the specimen. For example, the system may be configured to determine multiple properties of a specimen including, but not limited to, critical dimension and overlay misregistration. In this manner, a measurement device may perform multiple optical and/or non-optical metrology and/or inspection techniques.
    Type: Grant
    Filed: March 27, 2003
    Date of Patent: July 6, 2010
    Assignee: KLA-Tencor Technologies Corp.
    Inventors: Ady Levy, Kyle A. Brown, Rodney Smedt, Gary Bultman, Mehrdad Nikoonahad, Dan Wack, John Fielden, Ibrahim Abdulhalim
  • Publication number: 20100165340
    Abstract: Before the diffraction from a diffracting structure on a semiconductor wafer is measured, where necessary, the film thickness and index of refraction of the films underneath the structure are first measured using spectroscopic reflectometry or spectroscopic ellipsometry. A rigorous model is then used to calculate intensity or ellipsometric signatures of the diffracting structure. The diffracting structure is then measured using a spectroscopic scatterometer using polarized and broadband radiation to obtain an intensity or ellipsometric signature of the diffracting structure. Such signature is then matched with the signatures in the database to determine the grating shape parameters of the structure.
    Type: Application
    Filed: December 18, 2009
    Publication date: July 1, 2010
    Applicant: KLA-Tencor Technologies Corporation
    Inventors: Yiping Xu, Ibrahim Abdulhalm
  • Patent number: 7746483
    Abstract: The invention relates to a method and a device (1) for adjusting the gap dimensions and/or an offset between a movable hood (2) of a motor vehicle and the remaining body (3) of said motor vehicle. The hood (2) is first fitted and retained in a roughly adjusted assembly position so as to be as flush as possible with the body (3), whereupon the hood (2) is finely adjusted such that predefined values are matched as closely as possible for the gap dimensions and/or the offset. In order to be able to adjust the gap dimensions and/or the offset as simply, quickly and flexibly as possible in a contactless manner, actual values (21 ist) for the gap dimensions and/or the offset between the hood (2) and the remaining body (3) are optically detected for the fine adjustment. Triggering signals (24) for at least one actuating member (12) are determined in accordance with the detected actual values (21 ist) and predefined set point values (21-soll) for the gap dimensions and/or the offset.
    Type: Grant
    Filed: August 31, 2009
    Date of Patent: June 29, 2010
    Assignee: inos Automationssoftware GmbH
    Inventors: Charalambos Tassakos, Jan-Thomas Metge
  • Patent number: 7742177
    Abstract: The invention can provide apparatus and methods for processing wafers using Noise-Reduction (N-R) metrology models that can be used in Double-Patterning (D-P) processing sequences, Double-Exposure (D-E) processing sequences, or other processing sequences.
    Type: Grant
    Filed: January 22, 2008
    Date of Patent: June 22, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Shifang Li, Yu Liu
  • Publication number: 20100148432
    Abstract: A method for measuring dimensions of a stack of medium in a media input location of an imaging system, includes emitting light along a direction that is at a predetermined angle with respect to the normal of the planar surface of the media input location. An array of photosensors are disposed along an array direction that lies in a plane defined by the direction of the light and the normal of the planar surface. The photosensors receive a spatially-varying pattern of light reflected from a surface that is substantially parallel to the planar surface of the media input location to provide corresponding electronic signal data from the photosensor array for subsequent transmission to a printing system controller. The varying electronic signal data is used to provide a measurement of the one or more dimensions corresponding to the stack of medium.
    Type: Application
    Filed: December 11, 2008
    Publication date: June 17, 2010
    Inventors: James J. Haflinger, Arthur K. Wilson, Gary A. Kneezel
  • Publication number: 20100141948
    Abstract: A method and system are presented for use in characterizing properties of an article having a structure comprising a multiplicity of sites comprising different periodic patterns. The method comprises: providing a theoretical model of prediction indicative of optical properties of different stacks defined by geometrical and material parameters of corresponding sites, said sites being common in at least one of geometrical parameter and material parameter; performing optical measurements on at least two different stacks of the article and generating optical measured data indicative of the geometrical parameters and material composition parameters for each of the measured stacks; processing the optical measured data, said processing comprising simultaneously fitting said optical measured data for the multiple measured stacks with said theoretical model and extracting said at least one common parameter, thereby enabling to characterize the properties of the multi-layer structure within the single article.
    Type: Application
    Filed: July 13, 2008
    Publication date: June 10, 2010
    Inventors: Yoel Cohen, Bonaz Brill
  • Patent number: 7734437
    Abstract: Provided is an apparatus for designing an optical metrology system for measuring structures on a workpiece wherein the optical metrology system is configured to meet one or more signal criteria. The design of the optical metrology system is optimized by using collected signal data in comparison to set one or more signal criteria. In one embodiment, the optical metrology system is used for stand alone systems. In another embodiment, the optical metrology system is integrated with a fabrication cluster in semiconductor manufacturing.
    Type: Grant
    Filed: March 27, 2008
    Date of Patent: June 8, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Xinkang Tian, Manuel Madriaga, Ching-Ling Meng, Mihail Mihalov
  • Patent number: 7729873
    Abstract: Provided is a method for determining one or more profile parameters of a structure using an optical metrology model, the optical metrology model comprising a profile model, an approximation diffraction model, and a fine diffraction model. A simulated approximation diffraction signal is generated based on an approximation diffraction model of the structure. A set of difference diffraction signals is obtained by subtracting the simulated approximation diffraction signal from each of simulated fine diffraction signals and paired with the corresponding profile parameters and used to generate a library of difference diffraction signals. A measured diffraction signal adjusted by the simulated approximation diffraction signal is matched against the library to determine at least one profile parameter of the structure.
    Type: Grant
    Filed: August 28, 2007
    Date of Patent: June 1, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Wei Liu, Shifang Li, Weidung Yang
  • Patent number: 7719670
    Abstract: Improved method and apparatus for machine vision. One embodiment provides automated imaging and analysis, optionally including Scheimpflug's condition on the pattern projector, telecentric imaging and projecting, an IR filter, a mask to constrain observed illumination, and/or a sine-wave projection pattern for more accurate results. Another embodiment provides circuitry for a machine-vision system. Another embodiment provides a machine-vision system, optionally including accommodation of random orientation of parts in trays, irregular location of features being inspected, crossed pattern projectors and detectors for shadow reduction, detection of substrate warpage as well as ball-top coplanarity, two discrete shutters (or flash brightnesses) interleaved (long shutter for dark features, short shutter for bright features).
    Type: Grant
    Filed: July 8, 2008
    Date of Patent: May 18, 2010
    Inventors: Joshua J. Hackney, Arye Malek, Franz W. Ulrich, John B. Estridge
  • Publication number: 20100118316
    Abstract: Provided are an apparatus and a method of measuring structures on a workpiece using an optical metrology system, the optical metrology system comprising an auto focus subsystem which includes a motion control system and a focus detector. The focus detector includes an array of sensors where each sensor has identification (ID). The focus detector measures the focus beam and converts the measurements into a focus signal for each sensor. The focus signal and associated ID of each sensor are transmitted to a processor that generates a best focus instruction. A motion control system utilizes the best focus instruction to move the workpiece to the best focus location. The auto focusing of the workpiece is performed to meet set operating objectives of the auto focus subsystem.
    Type: Application
    Filed: November 13, 2008
    Publication date: May 13, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: MIHAIL MIHAYLOV, MANUEL MADRIAGA
  • Patent number: 7716026
    Abstract: A non-destructive method to inverse-calculate a fiber probe aperture size, and a prediction method of the simulation and fabrication profile of near field photolithography are provided. The error between an experimental result of the photolithography and a simulation result of the theoretical model of near field photolithography is set as an objective function to inverse-calculate a fiber probe aperture size that can match with the photolithography experiment and the theoretical model of near field photolithography. Finally, by comparing the fabrication profile of the photolithography experiment and that of the simulation result of the inverse-calculated fiber probe aperture size, it is verified that the inverse-calculated fiber probe aperture size is reasonable and acceptable.
    Type: Grant
    Filed: May 16, 2007
    Date of Patent: May 11, 2010
    Assignee: National TAiwan University of Science and Technology
    Inventors: Zone-Ching Lin, Ching-Been Yang