Including Heat Treatment Of Conductive Layer Patents (Class 438/660)
  • Patent number: 8021979
    Abstract: To provide a technology capable of improving reliability and manufacturing yield of a semiconductor device by reducing variations of electrical characteristics in connection hole portions. After a semiconductor wafer is placed over a wafer stage provided in a chamber for dry cleaning treatment of a deposition system, dry cleaning treatment is performed to a principal surface of the semiconductor wafer by supplying reducing gas, sequentially, heat treatment is performed to the semiconductor wafer at a first temperature of 100 to 150° C. by a showerhead which is maintained at 180° C. Next, after the semiconductor wafer is vacuum transferred from the chamber to a chamber for heat treatment, heat treatment is performed to the semiconductor wafer at a second temperature of 150 to 400° C. in the chamber, thereby removing a product remaining over the principal surface of the semiconductor wafer.
    Type: Grant
    Filed: November 29, 2010
    Date of Patent: September 20, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Takuya Futase, Hiroshi Tobimatsu
  • Patent number: 8014895
    Abstract: An object of the present invention is to perform temperature setting of a heating plate so that a wafer is uniformly heated in an actual heat processing time. The temperature of a wafer is measured during a heat processing period from immediately after a temperature measuring wafer is mounted on the heating plate to the time when the actual heat processing time elapses. Whether the uniformity in temperature within the wafer is allowable or not is determined from the temperature of the wafer in the heat processing period, and if the determination result is negative, a correction value for a temperature setting parameter of the heating plate is calculated using a correction value calculation model from the measurement result, and the temperature setting parameter is changed.
    Type: Grant
    Filed: December 7, 2005
    Date of Patent: September 6, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Shuji Iwanaga, Nobuyuki Sata
  • Patent number: 8008215
    Abstract: A method of forming a buried oxide/crystalline III-V semiconductor dielectric stack is presented. The method includes providing a substrate and forming a layered structure on the substrate comprising of layers of different materials, one of the different materials is selected to be an oxidizable material to form one or more buried low index oxide layers. A first sequence of oxidizing steps are performed on the layered structure by exposing the edges of the layered structure to a succession of temperature increases in the presence of steam from an initial temperature to the desired oxidation temperature for a time interval equal to the sum of the time intervals of the succession of temperature increases. Also, the method includes performing a second sequential oxidizing step with steam on the layered structure at the specific oxidation temperature for a specific time interval.
    Type: Grant
    Filed: May 12, 2006
    Date of Patent: August 30, 2011
    Assignee: Massachusetts Institute of Technology
    Inventors: Sheila Tandon, Gale Petrich, Leslie Kolodziejski
  • Patent number: 8008194
    Abstract: The semiconductor manufacturing method comprises the step of forming a metal alloy film of an alloy of a metal of Ni or others and a noble metal over a semiconductor substrate containing a region where silicon is partially exposed; the step of selectively reacting the silicon in the region and the metal alloy film by thermal processing to form metal silicide film containing the metal of Ni or others and the noble metal on the region; and the step of removing the metal alloy film remaining unreacted by using a solution containing hydrogen peroxide with a transition metal, which has higher ionization tendency than the metal of Ni or others, dissolved in.
    Type: Grant
    Filed: July 31, 2007
    Date of Patent: August 30, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Masanori Uchida
  • Patent number: 8008171
    Abstract: Disclosed is a method of providing a poly-Si layer used in fabricating poly-Si TFT's or devices containing poly-Si layers. Particularly, a method utilizing at least one metal plate covering the amorphous silicon layer or the substrate, and applying RTA (Rapid Thermal Annealing) for light illuminating process, then the light converted into heat by the metal plate will further be conducted to the amorphous silicon layer to realize rapid thermal crystallization. Thus the poly-Si layer of the present invention is obtained.
    Type: Grant
    Filed: June 9, 2008
    Date of Patent: August 30, 2011
    Assignees: Tatung Company, Tatung University
    Inventors: Chiung-Wei Lin, Yi-Liang Chen
  • Patent number: 8003530
    Abstract: The present invention relates to a method for metallizing semiconductor components in which aluminium is used. In particular in the case of products in which the process costs play a big part, such as e.g. solar cells based on silicon, a cost advantage can be achieved with the invention. In addition, the present invention relates to the use of the method, for example in the production of solar cells.
    Type: Grant
    Filed: March 6, 2009
    Date of Patent: August 23, 2011
    Assignee: Fraunhofer-Gesellschaft zur Forderung der Angewandten Forschung E.V.
    Inventors: Andreas Grohe, Jan-Frederik Nekarda, Oliver Schultz-Wittmann
  • Patent number: 7994034
    Abstract: A programmable resistance, chalcogenide, switching or phase-change material device includes a substrate with a plurality of stacked layers including a conducting bottom electrode layer, an insulative layer having an opening formed therein, an active material layer deposited over both the insulative layer, within the opening, and over selected portions of the bottom electrode, and a top electrode layer deposited over the active material layer. The device uses temperature and pressure control methods to increase surface mobility in an active material layer, thus providing complete coverage or fill of the openings in the insulative layer, selected exposed portions of the bottom electrode layer, and the insulative layer.
    Type: Grant
    Filed: March 10, 2008
    Date of Patent: August 9, 2011
    Assignee: Ovonyx, Inc.
    Inventors: Jeff Fournier, Wolodymyr Czubatyj, Tyler Lowrey
  • Publication number: 20110171760
    Abstract: A method for manufacturing a thin film transistor includes: forming a source electrode and a drain electrode on a substrate by depositing a metal layer on the substrate at a first temperature and etching the metal layer; forming a protective layer on the source and drain electrodes; and performing a heat treatment on the protective layer at a second temperature higher than the first temperature.
    Type: Application
    Filed: January 7, 2011
    Publication date: July 14, 2011
    Applicant: SAMSUNG MOBILE DISPLAY CO., LTD.
    Inventors: Young-Gil Ji, Deuk-Jong Kim
  • Patent number: 7968459
    Abstract: This invention teaches methods of combining ion implantation steps with in situ or ex situ heat treatments to avoid and/or minimize implant-induced amorphization (a potential problem for source/drain (S/D) regions in FETs in ultrathin silicon on insulator layers) and implant-induced plastic relaxation of strained S/D regions (a potential problem for strained channel FETs in which the channel strain is provided by embedded S/D regions lattice mismatched with an underlying substrate layer). In a first embodiment, ion implantation is combined with in situ heat treatment by performing the ion implantation at elevated temperature. In a second embodiment, ion implantation is combined with ex situ heat treatments in a “divided-dose-anneal-in-between” (DDAB) scheme that avoids the need for tooling capable of performing hot implants.
    Type: Grant
    Filed: May 28, 2008
    Date of Patent: June 28, 2011
    Assignee: International Business Machines Corporation
    Inventors: Stephen W. Bedell, Joel P. DeSouza, Zhibin Ren, Alexander Reznicek, Devandra K. Sadana, Katherine L. Saenger, Ghavam Shahidi
  • Patent number: 7968444
    Abstract: Disclosed are electrolyte compositions for depositing a tin alloy on a substrate. The electrolyte compositions include tin ions, ions of one or more alloying metals, a flavone compound and a dihydroxy bis-sulfide. The electrolyte compositions are free of lead and cyanide. Also disclosed are methods of depositing a tin alloy on a substrate and methods of forming an interconnect bump on a semiconductor device.
    Type: Grant
    Filed: December 31, 2009
    Date of Patent: June 28, 2011
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Yu Luo, Neil D. Brown, Michael P. Toben
  • Publication number: 20110147677
    Abstract: The invention relates to zinc-containing glass compositions useful in conductive pastes for silicon semiconductor devices and photovoltaic cells.
    Type: Application
    Filed: June 21, 2010
    Publication date: June 23, 2011
    Applicant: E.I. DU PONT DE NEMOURS AND COMPANY
    Inventors: Alan Frederick Carroll, Kenneth Warren Hang, Brian J. Laughlin, Zhigang Rick Li, Hisashi Matsuno, Yueli Wang
  • Publication number: 20110151665
    Abstract: Embodiments of the invention are directed to a method of printing lines. The method may include depositing material on a substrate from a plurality of nozzles to form a multi-layered line of a desired cross section area or a desired height by dispensing the material in at least two layers in a single scan. Each layer may be printed by different nozzles and the number of layers in the line is determined based on the desired cross section area or height.
    Type: Application
    Filed: June 24, 2009
    Publication date: June 23, 2011
    Inventors: Hanan Gothati, Michael Dovrat, Ofir Baharav
  • Patent number: 7964504
    Abstract: Recessed features on a Damascene substrate are filled with metal using plasma PVD. Recessed features having widths of less than about 300 nm, e.g., between about 30-300 nm can be filled with metals (e.g., copper and aluminum), without forming voids. In one approach, the deposition is performed by exposing the substrate to a high-density plasma characterized by high fractional ionization of metal. Under these conditions, the metal is deposited within the recess, without forming large overhang at the opening of the recess. In some embodiments, the metal is deposited within the recess, while diffusion barrier material is simultaneously etched from the field region. In a second approach, recessed features are filled by performing a plurality of profiling cycles, wherein each cycle includes a net etching and a net depositing operation. Etching and depositing parameters are adjusted such that the recessed features are filled without forming overhangs and voids.
    Type: Grant
    Filed: February 29, 2008
    Date of Patent: June 21, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: Roey Shaviv, Alexander Dulkin, Daniel Juliano, Ronald Kinder
  • Patent number: 7964500
    Abstract: To solve a problem that it becomes difficult to lower contact resistance between nickel-based metal silicide and metal for contact as the result of the miniaturization of the hole. One invention of the present application is a method of manufacturing a semiconductor integrated circuit device having a MISFET subjected to silicidation of a source/drain region and the like by nickel-based metal silicide, the method performing a heat treatment for the upper surface of a silicide film in a non-plasma reducing vapor phase atmosphere containing a gas having a nitrogen-hydrogen bond as one of main gas components, before forming a barrier metal at a contact hole provided at a pre-metal insulating film.
    Type: Grant
    Filed: February 27, 2010
    Date of Patent: June 21, 2011
    Assignee: Renesas Electronics Corporation
    Inventor: Takuya Futase
  • Publication number: 20110115086
    Abstract: Methods and compositions for preparing highly conductive electronic features are disclosed. When organoamine-stabilized silver nanoparticles are exposed to an alkaline composition, the resulting electronic feature is highly conductive. Such methods are particularly advantageous when applied to aged silver nanoparticle compositions.
    Type: Application
    Filed: November 13, 2009
    Publication date: May 19, 2011
    Applicant: Xerox Corporation
    Inventors: Ping Liu, Yiliang Wu, Nan-Xing Hu, Anthony Wigglesworth
  • Patent number: 7943510
    Abstract: A method of processing a substrate with a conductive film formed thereover and method of forming a micromagnetic device. In one embodiment, the method of processing the substrate includes reducing a temperature of the substrate to a stress-compensating temperature, and maintaining the temperature of the substrate at the stress-compensating temperature for a period of time. The method also includes increasing the temperature of the substrate above the stress-compensating temperature.
    Type: Grant
    Filed: September 10, 2007
    Date of Patent: May 17, 2011
    Assignee: Enpirion, Inc.
    Inventors: Ken Takahashi, Trifon M. Liakopoulos
  • Patent number: 7943511
    Abstract: A semiconductor process is provided. First, a substrate having a dielectric layer formed thereon is provided. Thereafter, an interconnection structure including copper is formed in the dielectric layer. Afterwards, a metal layer is formed on the dielectric layer. The metal layer is then patterned to form a pad. An annealing process is performed, wherein the gas source for the annealing process includes hydrogen in a concentration of 50% to 90%.
    Type: Grant
    Filed: July 17, 2009
    Date of Patent: May 17, 2011
    Assignee: United Microelectronics Corp.
    Inventors: Chun-Chieh Fang, Po-Jong Chen, Tsung-Min Kuo
  • Patent number: 7936065
    Abstract: A semiconductor device is provided with a silicon substrate, with a surface for soldering the silicon substrate to a ceramic substrate, and an electrode making contact with the surface of the silicon substrate. The electrode comprises a first conductor layer, a second conductor layer, and a third conductor layer. The first conductor layer makes contact with the surface of the silicon substrate and includes aluminum and silicon. The second conductor layer makes contact with the first conductor layer and includes titanium. The third conductor layer is separated from the first conductor layer by the second conductor layer and includes nickel.
    Type: Grant
    Filed: June 11, 2007
    Date of Patent: May 3, 2011
    Assignees: Toyota Jidosha Kabushiki Kaisha, ULVAC, Inc.
    Inventors: Yoshihito Mizuno, Masahiro Kinokuni, Shinji Koike, Masahiro Matsumoto, Fumitsugu Yanagihori
  • Publication number: 20110097898
    Abstract: The present invention is a method for degassing an electrical cable having a crosslinked, semiconductive shield layer prepared from a composition made from or containing (i) a phase I material consisting essentially of a polar copolymer of ethylene and an unsaturated ester having 4 to 20 carbon atoms, (ii) a phase II material consisting essentially of a nonpolar, low density polyethylene, and (iii) a conducting filler material dispersed in the phase I material and/or the phase II material. The de-gassing temperature is greater than 70 degrees Celsius.
    Type: Application
    Filed: July 1, 2009
    Publication date: April 28, 2011
    Applicant: Dow Global Technologies Inc.
    Inventors: Jerker B.L. Kjellqvist, Gabriele Goethel
  • Patent number: 7928008
    Abstract: A fabricating method of a polysilicon layer is disclosed which can be applied for fabricating a semiconductor device such as a SRAM and so on. The method for fabricating the semiconductor device includes the steps of: forming a transistor included in the semiconductor device on a semi conductor substrate forming an insulating layer on the transistor; forming contact holes, through which a region of the transistor is exposed, by selectively removing the insulating layer forming a silicon layer in the contact holes forming a metal layer on the insulating layer and the silicon layer; forming a metal suicide layer through heat treatment of the silicon layer and the metal layer; removing the metal layer; forming an amorphous silicon layer on the insulating layer and the metal suicide layer; and forming a polysilicon layer through heat treatment of the amorphous silicon layer.
    Type: Grant
    Filed: January 18, 2008
    Date of Patent: April 19, 2011
    Assignee: Terasemicon Corporation
    Inventors: Taek-Yong Jang, Byung-Il Lee, Young-Ho Lee, Seok-Pil Jang
  • Patent number: 7928020
    Abstract: A method for fabricating a nitrogen-containing dielectric layer and semiconductor device including the dielectric layer in which a silicon oxide layer is formed on a substrate, such that an interface region resides adjacent to substrate and a surface region resides opposite the interface region. Nitrogen is introduced into the silicon oxide layer by applying a nitrogen plasma. After applying nitrogen plasma, the silicon oxide layer is annealed. The processes of introducing nitrogen into the silicon oxide layer and annealing the silicon oxide layer are repeated to create a bi-modal nitrogen concentration profile in the silicon oxide layer. In the silicon oxide layer, the peak nitrogen concentrations are situated away from the interface region and at least one of the peak nitrogen concentrations is situated in proximity to the surface region. A method for fabricating a semiconductor device is incorporating the nitrogen-containing silicon oxide layers also disclosed.
    Type: Grant
    Filed: September 27, 2007
    Date of Patent: April 19, 2011
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Jinping Liu, Ben Ong, Zhengquan Zhang, Jae Gon Lee, Lydia Wong, Bin Yang, K. H. Alex See, Meisheng Zhou, Liang Choo Hsia
  • Patent number: 7928021
    Abstract: A system for and method of processing, i.e., annealing semiconductor materials. By controlling the time, frequency, variance of frequency, microwave power density, wafer boundary conditions, ambient conditions, and temperatures (including ramp rates), it is possible to repair localized damage lattices of the crystalline structure of a semiconductor material that may occur during the ion implantation of impurities into the material, electrically activate the implanted dopant, and substantially minimize further diffusion of the dopant into the silicon. The wafer boundary conditions may be controlled by utilizing susceptor plates (4) or a water chill plate (12). Ambient conditions may be controlled by gas injection (10) within the microwave chamber (3).
    Type: Grant
    Filed: September 17, 2008
    Date of Patent: April 19, 2011
    Assignee: DSGI, Inc.
    Inventors: Jeffrey Michael Kowalski, Jeffrey Edward Kowalski
  • Patent number: 7923368
    Abstract: A method of forming a diffusion region is disclosed. The method includes depositing a nanoparticle ink on a surface of a wafer to form a non-densified thin film, the nanoparticle ink having set of nanoparticles, wherein at least some nanoparticles of the set of nanoparticles include dopant atoms therein. The method also includes heating the non-densified thin film to a first temperature and for a first time period to remove a solvent from the deposited nanoparticle ink; and heating the non-densified thin film to a second temperature and for a second time period to form a densified thin film, wherein at least some of the dopant atoms diffuse into the wafer to form the diffusion region.
    Type: Grant
    Filed: April 25, 2008
    Date of Patent: April 12, 2011
    Assignee: Innovalight, Inc.
    Inventors: Mason Terry, Homer Antoniadis, Dmitry Poplavskyy, Maxim Kelman
  • Patent number: 7902056
    Abstract: Devices and methods for plasma treated metal silicide layer formation are disclosed. In one embodiment, a method for manufacturing a semiconductor device comprises forming a metal layer on a silicon substrate, exposing the metal layer to a plasma, and thermally treating the silicon substrate and the metal layer to form a metal silicide layer.
    Type: Grant
    Filed: August 20, 2008
    Date of Patent: March 8, 2011
    Assignee: Spansion LLC
    Inventors: Takayuki Enda, Tatsuya Inoue, Naoki Takeguchi
  • Patent number: 7902070
    Abstract: A method and system for producing a noble metal film includes the step of sputtering a noble metal on a substrate thus obtaining a film. The method and system further includes the step of subjecting the film to a thermal treatment, thus obtaining the noble metal film.
    Type: Grant
    Filed: May 1, 2006
    Date of Patent: March 8, 2011
    Assignee: STMicroelectronics S.R.L.
    Inventors: Sabrina Conoci, Salvatore Petralia
  • Patent number: 7901994
    Abstract: Methods of fabricating transistor in which a first Group III nitride layer is formed on a substrate in a reactor, and a second Group III nitride layer is formed on the first Group III nitride layer. An insulating layer such as, for example, a silicon nitride layer is formed on the second Group III nitride layer in-situ in the reactor. The substrate including the first Group III nitride layer, the second group III nitride layer and the silicon nitride layer is removed from the reactor, and the silicon nitride layer is patterned to form a first contact hole that exposes a first contact region of the second Group III nitride layer. A metal contact is formed on the first contact region of the second Group III nitride layer.
    Type: Grant
    Filed: November 23, 2005
    Date of Patent: March 8, 2011
    Assignee: Cree, Inc.
    Inventors: Adam William Saxler, Scott T. Sheppard
  • Patent number: 7892971
    Abstract: An annealing method and apparatus for semiconductor manufacturing is described. The method and apparatus allows an anneal that can span a thermal budget and be tailored to a specific process and its corresponding activation energy. In some cases, the annealing method spans a timeframe from about 1 millisecond to about 1 second. An example for this annealing method includes a sub-second anneal method where a reduction in the formation of nickel pipes is achieved during salicide processing. In some cases, the method and apparatus combine the rapid heating rate of a sub-second anneal with a thermally conductive substrate to provide quick cooling for a silicon wafer. Thus, the thermal budget of the sub-second anneal methods may span the range from conventional RTP anneals to flash annealing processes (including duration of the anneal, as well as peak temperature). Other embodiments are described.
    Type: Grant
    Filed: June 30, 2008
    Date of Patent: February 22, 2011
    Assignee: Intel Corporation
    Inventors: Jack Hwang, Sridhar Govindaraju, Karson Knutson, Harold Kennel, Aravind Killampalli
  • Patent number: 7888737
    Abstract: A semiconductor device includes: a monocrystalline substrate; an inter-layer film formed on the monocrystalline substrate; a contact hole penetrating the inter-layer film and partially exposing an upper surface of the monocrystalline substrate; a sidewall formed on an inner surface of the contact hole; a plurality of first monocrystalline layers which include few defects, fill the contact hole, and cover the inter-layer film; and a plurality of second monocrystalline layers which include many defects and cover the sidewall and an upper surface of the inter-layer film so as to be sandwiched between the first monocrystalline layers and the inter-layer film.
    Type: Grant
    Filed: January 27, 2009
    Date of Patent: February 15, 2011
    Assignee: Elpida Memory, Inc.
    Inventors: Hiroyuki Fujimoto, Yuki Togashi
  • Patent number: 7884012
    Abstract: A method is provided for void-free copper (Cu) filling of recessed features in a semiconductor device. The method includes providing a patterned substrate containing a recessed feature, depositing a barrier film on the patterned substrate, including in the recessed feature, depositing a Ru metal film on the barrier film, and depositing a discontinuous Cu seed layer on the Ru metal film, where the Cu seed layer partially covers the Ru metal film in the recessed feature. The method further includes exposing the substrate to an oxidation source gas that oxidizes the Cu seed layer and the portion of the Ru metal film not covered by the Cu seed layer, heat-treating the oxidized Cu seed layer and the oxidized Ru metal film under high vacuum conditions or in the presence of an inert gas to activate the oxidized Ru metal film for Cu plating, and filling the recessed feature with bulk Cu metal.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: February 8, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Kenji Suzuki, Tadahiro Ishizaka, Miho Jomen, Jonathan Rullan
  • Publication number: 20110024759
    Abstract: The present invention relates to a thin film transistor substrate and a metal wiring method thereof, more particularly to a thin film transistor substrate comprising self-assembled monolayers between the substrate and the metal wiring, and a metal wiring thereof. Since a thin film transistor substrate of the present invention comprises three-dimensionally cross-linked self-assembled monolayers between the Si surface and the metal wiring, it has good adhesion ability and anti-diffusion ability.
    Type: Application
    Filed: October 8, 2010
    Publication date: February 3, 2011
    Inventors: Jae-Gab LEE, Chang-Oh Jeong, Myung-Mo Sung, Hee-Jung Yang, Beom-Seok Cho
  • Patent number: 7879721
    Abstract: The present process for rapidly heating and cooling a target material without damaging the substrate upon which it has been deposited. More specifically, target material is coated onto a first substrate. A self-propagating nanoenergetic material is selected that combusts at temperatures sufficient to change the target material and creates a flame front that propagates sufficiently quickly that the first substrate is not substantially heated. The nanoenergetic material is deposited on the target material, such that the target material and the nanoenergetic material is sandwiched between the substrate and the target material. The nanoenergetic material is ignited and the flame front of the nanoenergetic material is allowed to propagate over the second substrate and change the target material.
    Type: Grant
    Filed: October 27, 2006
    Date of Patent: February 1, 2011
    Assignee: The Curators of the University of Missouri
    Inventors: Shubhra Gangopadhyay, Maruf Hossain, Keshab Gangopadhyay, Rajesh Shende
  • Patent number: 7875545
    Abstract: A method of producing an ohmic contact and a resulting ohmic contact structure are disclosed. The method includes the steps of forming a deposited film of nickel and silicon on a silicon carbide surface at a temperature below which either element will react with silicon carbide and in respective proportions so that the atomic fraction of silicon in the deposited film is greater than the atomic fraction of nickel, and heating the deposited film of nickel and silicon to a temperature at which nickel-silicon compounds will form with an atomic fraction of silicon greater than the atomic fraction of nickel but below the temperature at which either element will react with silicon carbide. The method can further include the step of annealing the nickel-silicon compound to a temperature higher than the heating temperature for the deposited film, and within a region of the phase diagram at which free carbon does not exist.
    Type: Grant
    Filed: January 28, 2008
    Date of Patent: January 25, 2011
    Assignee: Cree, Inc.
    Inventors: Allan Ward, III, Jason Patrick Henning, Helmut Hagleitner, Keith Dennis Wieber
  • Patent number: 7871937
    Abstract: Methods and systems are provided for low pressure baking to remove impurities from a semiconductor surface prior to deposition. Advantageously, the short, low temperature processes consume only a small portion of the thermal budget, while still proving effective at removing interfacial oxygen from the semiconductor surface. The methods and systems are particularly well suited for treating semiconductor surfaces before epitaxy.
    Type: Grant
    Filed: December 9, 2008
    Date of Patent: January 18, 2011
    Assignee: ASM America, Inc.
    Inventors: Robin Charis Scott, Matt Johnson
  • Patent number: 7872294
    Abstract: A dielectric film is formed by depositing an amorphous strontium oxide film to a thickness of one to several atomic layers on a first electrode layer, then depositing an amorphous titanium oxide film to a thickness of one to several atomic layers on the amorphous strontium oxide film, and then heat-treating a laminated film of the amorphous strontium oxide film and the amorphous titanium oxide film at a temperature close to a crystallization start temperature, thereby converting the laminated film to a single-layer amorphous strontium titanate film containing a plurality of crystal grains therein. The laminated film may have a plurality of amorphous strontium oxide films and a plurality of amorphous titanium oxide films that are alternately laminated. A semiconductor device includes a capacitor having as its dielectric film a single-layer amorphous strontium titanate film containing a plurality of crystal grains therein.
    Type: Grant
    Filed: February 2, 2010
    Date of Patent: January 18, 2011
    Assignee: Elpida Memory, Inc.
    Inventor: Naruhiko Nakanishi
  • Patent number: 7872338
    Abstract: A microelectromechanical device package with integral a heater and a method for packaging the microelectromechanical device are disclosed in this invention. The microelectromechanical device package comprises a first package substrate and second substrate, between which a microelectromechanical device, such as a micromirror array device is located. In order to bonding the first and second package substrates so as to package the microelectromechanical device inside, a sealing medium layer is deposited, and heated by the heater so as to bond the first and second package substrates together.
    Type: Grant
    Filed: November 7, 2008
    Date of Patent: January 18, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: Terry Tarn
  • Patent number: 7863621
    Abstract: A thin film transistor includes a semiconductor layer formed on a polycrystalline silicon layer crystallized by a super grain silicon (SGS) crystallization method. The thin film transistor is patterned such that the semiconductor layer does not include a seed or a grain boundary created when forming the semiconductor layer on the polycrystalline silicon layer.
    Type: Grant
    Filed: September 6, 2006
    Date of Patent: January 4, 2011
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventors: Tae-Hoon Yang, Ki-Yong Lee, Jin-Wook Seo, Byoung-Keon Park
  • Patent number: 7863126
    Abstract: A method for fabricating a CMOS structure is disclosed. The method includes the blanket disposition of a high-k gate insulator layer in an NFET device and in a PFET device, and the implementation of a gate metal layer over the NFET device. This is followed by a blanket disposition of an Al layer over both the NFET device and the PFET device. The method further involves a blanket disposition of a shared gate metal layer over the Al layer. When the PFET device is exposed to a thermal annealing, the high-k dielectric oxidizes the Al layer, thereby turning the Al layer into a PFET interfacial control layer, while in the NFET device the Al becomes a region of the metal gate.
    Type: Grant
    Filed: May 15, 2008
    Date of Patent: January 4, 2011
    Assignee: International Business Machines Corporation
    Inventors: Dae-Gyu Park, Michael P. Chudzik, Vijay Narayanan, Vamsi Paruchuri
  • Publication number: 20100327377
    Abstract: An interlayer is used to reduce Fermi-level pinning phenomena in a semiconductive device with a semiconductive substrate. The interlayer may be a rare-earth oxide. The interlayer may be an ionic semiconductor. A metallic barrier film may be disposed between the interlayer and a metallic coupling. The interlayer may be a thermal-process combination of the metallic barrier film and the semiconductive substrate. A process of forming the interlayer may include grading the interlayer. A computing system includes the interlayer.
    Type: Application
    Filed: June 26, 2009
    Publication date: December 30, 2010
    Inventors: Gilbert Dewey, Niloy Mukherjee, Matthew Metz, Jack T. Kavalieros, Nancy M. Zelick, Robert S. Chau
  • Patent number: 7851355
    Abstract: To provide a technology capable of improving reliability and manufacturing yield of a semiconductor device by reducing variations of electrical characteristics in connection hole portions. After a semiconductor wafer is placed over a wafer stage provided in a chamber for dry cleaning treatment of a deposition system, dry cleaning treatment is performed to a principal surface of the semiconductor wafer by supplying reducing gas, sequentially, heat treatment is performed to the semiconductor wafer at a first temperature of 100 to 150° C. by a showerhead which is maintained at 180° C. Next, after the semiconductor wafer is vacuum transferred from the chamber to a chamber for heat treatment, heat treatment is performed to the semiconductor wafer at a second temperature of 150 to 400° C. in the chamber, thereby removing a product remaining over the principal surface of the semiconductor wafer.
    Type: Grant
    Filed: May 16, 2007
    Date of Patent: December 14, 2010
    Assignee: Renesas Electronics Corporation
    Inventors: Takuya Futase, Hiroshi Tobimatsu
  • Patent number: 7851358
    Abstract: A method of fabricating a copper interconnect on a substrate is disclosed in which the interconnect and substrate are subjected to a low temperature anneal subsequent to polarization of the interconnect and prior to deposition of an overlying dielectric layer. The low temperature anneal inhibits the formation of hillocks in the copper material during subsequent high temperature deposition of the dielectric layer. Hillocks can protrude through passivation layer, thus causing shorts within the connections of the semiconductor devices formed on the substrate. In one example, the interconnect and substrate are annealed at a temperature of about 200° C. for a period of about 180 seconds in a forming gas environment comprising hydrogen (5 parts per hundred) and nitrogen (95 parts per hundred).
    Type: Grant
    Filed: May 5, 2005
    Date of Patent: December 14, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Jun Wu, Wen-Long Lee, Chyi-Tsong Ni, Shih-Chi Lin
  • Publication number: 20100308321
    Abstract: Disclosed is a laminated structure, including a substrate, a wettability changing layer on the substrate, the wettability changing layer including a material, a critical surface tension of the material being changed by providing energy thereto, and an electrically conductor layer on the substrate, the electrically conductor layer formed on a region of the wettability changing layer, the region being provided with the energy, wherein the material includes a structural unit including a side chain and a structural unit including no side chain.
    Type: Application
    Filed: February 3, 2009
    Publication date: December 9, 2010
    Applicant: RICOH COMPANY, LTD
    Inventors: Takanori Tano, Koei Suzuki, Yusuke Tsuda
  • Patent number: 7846828
    Abstract: Ion implantation is carried out to form a p-well region and a source region in parts of a high resistance SiC layer on a SiC substrate, and a carbon film is deposited over the substrate. With the carbon film deposited over the substrate, annealing for activating the implanted dopant ions is performed, and then the carbon film is removed. Thus, a smooth surface having hardly any surface roughness caused by the annealing is obtained. Furthermore, if a channel layer is epitaxially grown, the surface roughness of the channel layer is smaller than that of the underlying layer. Since the channel layer having a smooth surface is provided, it is possible to obtain a MISFET with a high current drive capability.
    Type: Grant
    Filed: December 18, 2008
    Date of Patent: December 7, 2010
    Assignee: Panasonic Corporation
    Inventors: Osamu Kusumoto, Makoto Kitabatake, Masao Uchida, Kunimasa Takahashi, Kenya Yamashita, Masahiro Hagio, Kazuyuki Sawada
  • Publication number: 20100301479
    Abstract: Embodiments of the invention relate to a silicon semiconductor device, and a conductive thick film composition for use in a solar cell device.
    Type: Application
    Filed: May 28, 2009
    Publication date: December 2, 2010
    Applicant: E. I. DU PONT DE NEMOURS AND COMPANY
    Inventors: Haixin Yang, Roberto Irizarry, Patricia J. Olliver
  • Publication number: 20100301485
    Abstract: An electronic device includes a plurality of stacked substrates. Each of the substrates includes a semiconductor substrate, a columnar conductor, and a ring-shaped insulator. The columnar conductor extends along a thickness direction of the semiconductor substrate. The ring-shaped insulator includes an inorganic insulating layer mainly composed of a glass. The inorganic insulating layer fills a ring-shaped groove that is provided in the semiconductor substrate to surround the columnar conductor.
    Type: Application
    Filed: May 28, 2010
    Publication date: December 2, 2010
    Applicant: Napra Co., Ltd.
    Inventors: Shigenobu SEKINE, Yurina SEKINE, Yoshiharu KUWANA, Ryuji KIMURA
  • Patent number: 7843062
    Abstract: An interconnect and method of making the interconnect. The method includes forming a dielectric layer on a substrate, the dielectric layer having a top surface and a bottom surface; forming a first wire and a second wire in the dielectric layer, the first wire separated from the second wire by a region of the dielectric layer; and forming metallic nanoparticles in or on the top surface of the dielectric layer between the first and second wires, the metallic nanoparticles capable of electrically connecting the first wire and the second wire only while the nanoparticles are heated to a temperature greater than room temperature and a voltage is applied between the first and second wires.
    Type: Grant
    Filed: February 2, 2010
    Date of Patent: November 30, 2010
    Assignee: International Business Machines Corporation
    Inventors: Fen Chen, Cathryn Jeanne Christiansen, Michael Anthony Shinosky, Timothy Dooling Sullivan
  • Publication number: 20100294359
    Abstract: A process of forming a front-grid electrode on a silicon wafer having an ARC layer, comprising the steps: (1) printing and drying a metal paste A comprising an inorganic content comprising 0.5 to 8 wt.-% of glass frit and having fire-through capability, wherein the metal paste A is printed on the ARC layer to form a bottom set of thin parallel finger lines, (2) printing and drying a metal paste B comprising an inorganic content comprising 0.2 to 3 wt.-% of glass frit over the bottom set of finger lines, wherein the metal paste B is printed in a grid pattern which comprises (i) thin parallel finger lines forming a top set of finger lines superimposing the bottom set of finger lines and (ii) busbars intersecting the finger lines at right angle, and (3) firing the double-printed silicon wafer, wherein the inorganic content of metal paste B contains less glass frit plus optionally present other inorganic additives than the inorganic content of metal paste A.
    Type: Application
    Filed: May 20, 2010
    Publication date: November 25, 2010
    Applicant: E.I. DU PONT DE NEMOURS AND COMPANY
    Inventors: Russell David Anderson, Kenneth Warren Hang, Shih-Ming Kao, Giovanna Laudisio, Cheng-Nan Lin, Chun-Kwei Wu
  • Publication number: 20100294360
    Abstract: A process of forming a front-grid electrode on a silicon wafer having an ARC layer, comprising the steps: (1) printing and drying a metal paste A comprising an inorganic content comprising 0.5 to 8 wt.-% of glass frit and having fire-through capability, wherein the metal paste A is printed on the ARC layer in a grid pattern which comprises (i) thin parallel finger lines forming a bottom set of finger lines and (ii) busbars intersecting the finger lines at right angle, (2) printing and drying a metal paste B comprising an inorganic content comprising 0 to 3 wt.-% of glass frit over the bottom set of finger lines to form a top set of finger lines superimposing the bottom set of finger lines, and (3) firing the double-printed silicon wafer, wherein the inorganic content of metal paste B contains less glass frit plus optionally present other inorganic additives than the inorganic content of metal paste A.
    Type: Application
    Filed: May 20, 2010
    Publication date: November 25, 2010
    Applicant: E. I. DU PONT DE NEMOURS AND COMPANY
    Inventors: David Kent Anderson, Russell David Anderson, Giovanna Laudisio, Cheng-Nan Lin, Shih-Ming Kao, Chun-Kwei Wu
  • Patent number: 7829449
    Abstract: An electronic integrated circuit is fabricated by forming on a substrate, of which a part is composed of absorbing material, a portion made of a sacrificial material. The sacrificial material includes cobalt, nickel, titanium, tantalum, tungsten, molybdenum, gallium, indium, silver, gold, iron and/or chromium. A rigid portion is then formed in fixed contact with the substrate, on one side of the portion of sacrificial material opposite to the part of the substrate composed of absorbing material. The circuit is heated such that the sacrificial material is absorbed into the part of the substrate composed of absorbing material. A substantially empty volume is thus created in place of the portion of sacrificial material. The volume that is substantially empty can replace a dielectric material situated between the electrodes of a capacitor.
    Type: Grant
    Filed: February 10, 2005
    Date of Patent: November 9, 2010
    Assignees: STMicroelectronics (Crolles 2) SAS, Koninklijke Phillips Electronics N.V.
    Inventors: Christophe Regnier, Aurelie Humbert
  • Patent number: 7829473
    Abstract: A first conductive layer is formed, a composition layer over the first conductive layer is formed by discharging a composition in which nanoparticles comprising a conductive material covered with an organic material are dispersed in a solvent, and the composition layer is dried. Subsequently, pretreatment is performed in which the organic material covering the nanoparticles, which are positioned on a surface of the composition layer, is decomposed, and then baking is performed. In this manner, a second conductive layer is formed by sintering nanoparticles which are positioned on a surface of the composition layer. A memory layer is formed between the first conductive layer and the second conductive layer using the nanoparticles covered with the organic materials to which the pretreatment is not performed.
    Type: Grant
    Filed: March 18, 2008
    Date of Patent: November 9, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Kensuke Yoshizumi
  • Publication number: 20100269893
    Abstract: Metal pastes comprising (a) at least one electrically conductive metal powder selected from the group consisting of silver, copper, and nickel, (b) at least one p-type silicon alloy powder, and (c) an organic vehicle, wherein the p-type silicon alloy is selected from the group consisting of alloys comprising silicon and boron, alloys comprising silicon and aluminum and alloys comprising silicon, boron and aluminum.
    Type: Application
    Filed: April 23, 2010
    Publication date: October 28, 2010
    Applicant: E. I. DU PONT DE NEMOURS AND COMPANY
    Inventors: Alistair Graeme Prince, Richard John Sheffield Young, Giovanna Laudisio, Gary Coultart