Differential Etching Of Semiconductor Substrate Patents (Class 438/735)
  • Patent number: 8703619
    Abstract: A method of taper-etching a layer to be etched that is made of a dielectric material and has a top surface. The method includes the steps of: forming an etching mask with an opening on the top surface of the layer to be etched; and taper-etching a portion of the layer to be etched, the portion being exposed from the opening, by reactive ion etching so that a groove having two wall faces intersecting at a predetermined angle is formed in the layer to be etched. The step of taper-etching employs an etching gas containing a first gas contributing to the etching of the layer to be etched and a second gas contributing to the deposition of a sidewall protective film, and changes, during the step, the ratio of the flow rate of the second gas to the flow rate of the first gas so that the ratio increases.
    Type: Grant
    Filed: January 19, 2012
    Date of Patent: April 22, 2014
    Assignee: Headway Technologies, Inc.
    Inventors: Hironori Araki, Yoshitaka Sasaki, Hiroyuki Ito, Shigeki Tanemura
  • Publication number: 20140103497
    Abstract: A production process for a micromechanical component includes at least partially structuring at least one structure from at least one monocrystalline silicon layer by at least performing a crystal-orientation-dependent etching step on an upper side of the silicon layer with a given (110) surface orientation of the silicon layer. For the at least partial structuring of the at least one structure, at least one crystal-orientation-independent etching step is additionally performed on the upper side of the silicon layer with the given (110) surface orientation of the silicon layer.
    Type: Application
    Filed: October 14, 2013
    Publication date: April 17, 2014
    Applicant: Robert Bosch GmbH
    Inventors: Friedjof Heuck, Christoph Schelling, Mirko Hattass, Benjamin Schmidt
  • Patent number: 8697455
    Abstract: Disclosed is a monitoring TEG for an etching process in a semiconductor device. The TEG includes an etch stopping layer on a substrate and a target layer to be etched provided on the etch stopping layer. The target layer to be etched includes a first opening portion formed by etching a portion of the target layer to be etched and a second opening portion formed by etching another portion of the target layer to be etched. The second opening portion has a smaller depth than the first opening portion. A depth of a partial contact hole formed by a first partial etching process may be measured.
    Type: Grant
    Filed: March 8, 2012
    Date of Patent: April 15, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyoung-Woo Lee, Hong-Jae Shin, Woo-Jin Jang
  • Patent number: 8697528
    Abstract: Disclosed is a transistor that incorporates epitaxially deposited source/drain semiconductor films and a method for forming the transistor. A crystallographic etch is used to form recesses between a channel region and trench isolation regions in a silicon substrate. Each recess has a first side, having a first profile, adjacent to the channel region and a second side, having a second profile, adjacent to a trench isolation region. The crystallographic etch ensures that the second profile is angled so that all of the exposed recess surfaces comprise silicon. Thus, the recesses can be filled by epitaxial deposition without divot formation. Additional process steps can be used to ensure that the first side of the recess is formed with a different profile that enhances the desired stress in the channel region.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: April 15, 2014
    Assignee: International Business Machines Corporation
    Inventor: Thomas W. Dyer
  • Patent number: 8692339
    Abstract: In a method for manufacturing a micromechanical component, a cavity is produced in the substrate from an opening at the rear of a monocrystalline semiconductor substrate. The etching process used for this purpose and the monocrystalline semiconductor substrate used are controlled in such a way that a largely rectangular cavity is formed.
    Type: Grant
    Filed: June 3, 2009
    Date of Patent: April 8, 2014
    Assignee: Robert Bosch GmbH
    Inventors: Jochen Reinmuth, Michael Saettler, Stefan Weiss, Arnim Hoechst
  • Patent number: 8679985
    Abstract: A dry etching method for a silicon nitride film capable of improving throughput is provided. A dry etching method for dry-etching a silicon nitride film 103 includes dry-etching the silicon nitride film 103 without generating plasma by using a processing gas containing at least a hydrogen fluoride gas (HF gas) and a fluorine gas (F2 gas), with respect to a processing target object 100 including the silicon nitride film 103.
    Type: Grant
    Filed: February 2, 2010
    Date of Patent: March 25, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Eiichi Nishimura, Yusuke Shimizu
  • Patent number: 8664699
    Abstract: The invention provides methods and devices for fabricating printable semiconductor elements and assembling printable semiconductor elements onto substrate surfaces. Methods, devices and device components of the present invention are capable of generating a wide range of flexible electronic and optoelectronic devices and arrays of devices on substrates comprising polymeric materials. The present invention also provides stretchable semiconductor structures and stretchable electronic devices capable of good performance in stretched configurations.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: March 4, 2014
    Assignee: The Board of Trustees of the University of Illinois
    Inventors: Ralph G. Nuzzo, John A. Rogers, Etienne Menard, Keon Jae Lee, Dahl-Young Khang, Yugang Sun, Matthew Meitl, Zhengtao Zhu
  • Patent number: 8658537
    Abstract: According to one embodiment, a mask manufacturing method includes acquiring positional deviation information between an actual position of a pattern formed on a mask substrate and a design position decided at the time of designing the pattern; calculating an irradiating amount and an irradiating position of radiation to be irradiated to a predetermined area of a square on the mask substrate according to the calculated positional deviation information; and irradiating the radiation based on the calculated irradiating amount and the calculated irradiating position to form in a part of the mask substrate a heterogeneous layer of which volume is expanded more greatly than that of the surrounding mask substrate region.
    Type: Grant
    Filed: February 15, 2013
    Date of Patent: February 25, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Masamitsu Itoh
  • Patent number: 8642484
    Abstract: According to one embodiment, a method for manufacturing a semiconductor device includes forming a film containing boron on a semiconductor substrate, forming a film containing silicon oxide on the film containing boron, patterning the film containing silicon oxide and etching the film containing boron with a gas containing chlorine by using the patterned film containing silicon oxide as a mask.
    Type: Grant
    Filed: March 8, 2012
    Date of Patent: February 4, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Yusuke Kasahara
  • Publication number: 20140017901
    Abstract: The etching of a sacrificial silicon dioxide (SiO2) portion in a microstructure such as a microelectro-mechanical structures (MEMS) by the use an etchant gas, namely hydrogen fluoride (HF) vapour is performed with greater selectivity to other portions within the MEMS, and in particular portions of silicon nitride (Si3N4). This is achieved by the addition of a secondary non-etchant gas suitable for increase the ratio of difluoride reactive species (HF2? and H2F2) to monofluoride reactive species (F?, and HF) within the HF vapour. The secondary non-etchant gas may comprise a hydrogen compound gas. The ratio of difluoride reactive species (HF2? and H2F2) to the monofluoride reactive species (F?, and HF) within the HF vapour can also be increased by setting an etch operating temperature to 20° C. or below.
    Type: Application
    Filed: January 24, 2012
    Publication date: January 16, 2014
    Applicant: MEMSSTAR LIMITED
    Inventor: Anthony O'Hara
  • Patent number: 8628981
    Abstract: In a manufacturing method of a semiconductor device, a first insulating film covering a ferroelectric capacitor is formed, and a first opening that has a relatively large diameter and reaches an electrode of the ferroelectric capacitor is formed in the first insulating film, and then recovery annealing of the ferroelectric capacitor is performed, and thereby, a path for oxygen can be secured in performing the recovery annealing, and the sufficient recovery annealing can be performed without causing problems during a manufacturing process.
    Type: Grant
    Filed: August 10, 2009
    Date of Patent: January 14, 2014
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Kouichi Nagai
  • Patent number: 8623713
    Abstract: A trench isolation structure and method of forming the trench isolation structure are disclosed. The method includes forming a shallow trench isolation (STI) structure having an overhang and forming a gate stack. The method further includes forming source and drain recesses adjacent to the STI structure and the gate stack. The source and drain recesses are separated from the STI structure by substrate material. The method further includes forming epitaxial source and drain regions associated with the gate stack by filling the source and drain recesses with stressor material.
    Type: Grant
    Filed: September 15, 2011
    Date of Patent: January 7, 2014
    Assignee: International Business Machines Corporation
    Inventors: Michael V. Aquilino, Reinaldo A. Vega
  • Patent number: 8609491
    Abstract: A method for fabricating a semiconductor device includes etching a substrate to form trenches that separate active regions, forming an insulation layer having an opening to open a portion of a sidewall of each active region, forming a silicon layer pattern to gap-fill a portion of each trench and cover the opening in the insulation layer, forming a metal layer over the silicon layer pattern, and forming a metal silicide layer as buried bit lines, where the metal silicide layer is formed when the metal layer reacts with the silicon layer pattern.
    Type: Grant
    Filed: June 6, 2011
    Date of Patent: December 17, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventor: Eui-Seong Hwang
  • Patent number: 8609533
    Abstract: Methods for fabricating integrated circuits having substrate contacts and integrated circuits having substrate contacts are provided. One method includes forming a first trench in a SOI substrate extending through a buried insulating layer to a silicon substrate. A metal silicide region is formed in the silicon substrate exposed by the first trench. A first stress-inducing layer is formed overlying the metal silicide region. A second stress-inducing layer is formed overlying the first stress-inducing layer. An ILD layer of dielectric material is formed overlying the second stress-inducing layer. A second trench is formed extending through the ILD layer and the first and second stress-inducing layers to the metal silicide region. The second trench is filled with a conductive material.
    Type: Grant
    Filed: March 30, 2012
    Date of Patent: December 17, 2013
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventors: Thilo Scheiper, Stefan Flachowsky, Jan Hoentschel
  • Patent number: 8598043
    Abstract: The invention includes methods of forming isolation regions for semiconductor constructions. A hard mask can be formed and patterned over a semiconductor substrate, with the patterned hard mask exposing a region of the substrate. Such exposed region can be etched to form a first opening having a first width. The first opening is narrowed with a conformal layer of carbon-containing material. The conformal layer is punched through to expose substrate along a bottom of the narrowed opening. The exposed substrate is removed to form a second opening which joins to the first opening, and which has a second width less than the first width. The carbon-containing material is then removed from within the first opening, and electrically insulative material is formed within the first and second openings. The electrically insulative material can substantially fill the first opening, and leave a void within the second opening.
    Type: Grant
    Filed: September 20, 2010
    Date of Patent: December 3, 2013
    Assignee: Micron Technology Inc.
    Inventors: Ramakanth Alapati, Ardavan Niroomand, Gurtej S. Sandhu, Luan C. Tran
  • Publication number: 20130309873
    Abstract: A method of selectively etching a three-dimensional (3-D) structure includes generating a plasma in contact with the 3-D structure, and illuminating a designated portion of the 3-D structure with a laser beam while the plasma is being generated. Nonilluminated portions of the 3-D structure are etched at a first etch rate, and the designated portion of the 3-D structure is etched at a second etch rate, where the second etch rate is different from the first etch rate.
    Type: Application
    Filed: January 24, 2012
    Publication date: November 21, 2013
    Inventors: David N. Ruzic, John R. Sporre
  • Patent number: 8580158
    Abstract: Some embodiments include methods of removing silicon dioxide in which the silicon dioxide is exposed to a mixture that includes activated hydrogen and at least one primary, secondary, tertiary or quaternary ammonium halide. The mixture may also include one or more of thallium, BX3 and PQ3, where X and Q are halides. Some embodiments include methods of selectively etching undoped silicon dioxide relative to doped silicon dioxide, in which thallium is incorporated into the doped silicon dioxide prior to the etching. Some embodiments include compositions of matter containing silicon dioxide doped with thallium to a concentration of from about 1 weight % to about 10 weight %.
    Type: Grant
    Filed: June 22, 2012
    Date of Patent: November 12, 2013
    Assignee: Micron Technology, Inc.
    Inventor: Nishant Sinha
  • Patent number: 8574928
    Abstract: Fabrication methods for MRAM are described wherein any re-deposited metal on the sidewalls of the memory element pillars is cleaned before the interconnection process is begun. In embodiments the pillars are first fabricated, then a dielectric material is deposited on the pillars over the re-deposited metal on the sidewalls. The dielectric material substantially covers any exposed metal and therefore reduces sources of re-deposition during subsequent etching. Etching is then performed to remove the dielectric material from the top electrode and the sidewalls of the pillars down to at least the bottom edge of the barrier. The result is that the previously re-deposited metal that could result in an electrical short on the sidewalls of the barrier is removed. Various embodiments of the invention include ways of enhancing or optimizing the process. The bitline interconnection process proceeds after the sidewalls have been etched clean as described.
    Type: Grant
    Filed: April 10, 2012
    Date of Patent: November 5, 2013
    Assignee: Avalanche Technology Inc.
    Inventors: Kimihiro Satoh, Yiming Huai, Yuchen Zhou, Jing Zhang, Dong Ha Jung, Ebrahim Abedifard, Rajiv Yadav Ranjan, Parviz Keshtbod
  • Patent number: 8575034
    Abstract: The present invention relates to a fabricating method of a semiconductor element. First, a substrate is provided and a first layout structure having a first width is formed on the substrate. Then, an etching mask is formed to cover the first layout structure, and the etching mask exposes a portion of the first layout structure. After that, the first layout structure is etched with the etching mask to form a second layout structure having a second width. The second width is less than the first width. This fabricating method is capable of finishing the fabrication of gate structures in two different directions. Accordingly, the layout flexibility is improved.
    Type: Grant
    Filed: October 28, 2011
    Date of Patent: November 5, 2013
    Assignee: United Microelectronics Corporation
    Inventors: Ming-Te Wei, Po-Chao Tsao, Ming-Tsung Chen
  • Patent number: 8569182
    Abstract: A method of fabricating a three-dimensional semiconductor device includes forming a stacked structure, and the stacked structure includes a first layer, a second layer, a third layer, and a fourth layer sequentially stacked on a substrate. The method also includes forming a sacrificial spacer on a sidewall of the stacked structure such that the sacrificial spacer exposes a sidewall of the third layer, and recessing the exposed sidewall of the third layer thereby forming a recess region between the second and fourth layers.
    Type: Grant
    Filed: January 3, 2012
    Date of Patent: October 29, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Yong Park, Eunsun Youm
  • Patent number: 8563367
    Abstract: A method of fabricating an array substrate for an in-plane switching (IPS)-mode liquid crystal display (LCD) device, which includes a common electrode and a pixel electrode with a fine line width, are provided. The formation of the pixel electrode and the common electrode of the array substrate includes depositing two different metal layers and patterning the two different metal layers using a selective etching process. Thus, the pixel electrode and a central common electrode may be formed to have a fine line width so that the IPS-mode LCD device can have an improved aperture ratio.
    Type: Grant
    Filed: December 21, 2012
    Date of Patent: October 22, 2013
    Assignee: LG Display Co., Ltd.
    Inventor: Oh-Nam Kwon
  • Patent number: 8557706
    Abstract: A substrate processing method that forms an opening, which has a size that fills the need for downsizing a semiconductor device and is to be transferred to an amorphous carbon film, in a photoresist film of a substrate to be processed. Deposit is accumulated on a side wall surface of the opening in the photoresist film using plasma produced from a deposition gas having a gas attachment coefficient S of 0.1 to 1.0 so as to reduce the opening width of the opening.
    Type: Grant
    Filed: December 20, 2011
    Date of Patent: October 15, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Masanobu Honda, Hironobu Ichikawa
  • Patent number: 8557613
    Abstract: A method for designing, fabricating, and predicting a desired structure in and/or on a host material through defining etch masks and etching the host material is provided. The desired structure can be micro- or nanoscale structures, such as suspended nanowires and corresponding supporting pillars, and can be defined one layer at a time. Arbitrary desired structures can also be defined and obtained through etching. Further, given the desired structure, a starting structure can be predicted where etching of the starting structure yields the desired structure.
    Type: Grant
    Filed: June 13, 2011
    Date of Patent: October 15, 2013
    Assignee: California Institute of Technology
    Inventors: Michael Shearn, Michael David Henry, Axel Scherer
  • Patent number: 8557691
    Abstract: According to example embodiments of inventive concepts, a method of fabricating a semiconductor device includes forming a sacrificial pattern having SiGe on a crystalline silicon substrate. A body having crystalline silicon is formed on the sacrificial pattern. At least one active element is formed on the body. An insulating layer is formed to cover the sacrificial pattern, the body, and the active element. A contact hole is formed to expose the sacrificial pattern through the insulating layer. A void space is formed by removing the sacrificial pattern. An amorphous silicon layer is formed in the contact hole and the void space. The amorphous silicon layer is transformed into a metal silicide layer.
    Type: Grant
    Filed: July 17, 2012
    Date of Patent: October 15, 2013
    Assignees: Samsung Electronics Co., Ltd., SNU R&DB Foundation
    Inventors: Min-Chul Sun, Byung-Gook Park
  • Patent number: 8557612
    Abstract: A method to determine minimum etch mask dosage or thickness as a function of etch depth or maximum etch depth as a function of etch mask implantation dosage or thickness, for fabricating structures in or on a substrate through etch masking via addition or removal of a masking material and subsequent etching.
    Type: Grant
    Filed: June 25, 2010
    Date of Patent: October 15, 2013
    Assignee: California Institute of Technology
    Inventors: Michael David Henry, Michael Shearn, Axel Scherer
  • Patent number: 8551846
    Abstract: A method of fabricating a semiconductor device includes providing a semiconductor substrate including a channel region, forming a gate electrode structure on the channel region of the semiconductor substrate, forming a first trench in the semiconductor substrate, and forming a second trench in the semiconductor device. The first trench may include a first tip that protrudes toward the channel. The second trench may be an enlargement of the first trench and may include a second tip that also protrudes toward the channel region. In some examples, the second tip may protrude further towards the channel region than the first tip.
    Type: Grant
    Filed: March 22, 2012
    Date of Patent: October 8, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong Hyuk Kim, Dongsuk Shin, Myungsun Kim, Hoi Sung Chung
  • Patent number: 8546218
    Abstract: A method for fabricating a semiconductor device includes etching a substrate to form a plurality of bodies isolated by a first trench, forming a buried bit line gap-filling a portion of the first trench, etching the top portions of the bodies to form a plurality of pillars isolated by a plurality of second trenches extending across the first trench, forming a passivation layer gap-filling a portion of the second trenches, forming an isolation layer that divides each of the second trenches into isolation trenches over the passivation layer, and filling a portion of the isolation trenches to form a buried word line extending in a direction crossing over the buried bit line.
    Type: Grant
    Filed: May 6, 2011
    Date of Patent: October 1, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventors: Uk Kim, Kyung-Bo Ko
  • Patent number: 8541313
    Abstract: A method of etching a sacrificial layer for a micro-machined structure, the sacrificial layer positioned between a layer of a first material and a layer of a second material, the etching being carried out by an etching agent. The method includes: providing at least one species having an affinity for the etching agent greater than that of the layers of first material and second material and less than or equal to that of the sacrificial layer; and then etching the sacrificial layer by the etching agent, the etching being carried out to eliminate at least partially the sacrificial layer and then to eliminate at least partially the species.
    Type: Grant
    Filed: October 25, 2006
    Date of Patent: September 24, 2013
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Stéphan Borel, Jeremy Bilde
  • Patent number: 8536063
    Abstract: Various embodiments of the invention relate to etching processes used in fabrication of MTJ cells in an MRAM device. The various embodiments can be used in combination with each other. The first embodiment adds a hard mask buffer layer between a hard mask and a top electrode. The second embodiment uses a multilayered etching hard mask. The third embodiment uses a multilayered top electrode structure including a first Cu layer under a second layer such as Ta. The fourth embodiment is a two-phase etching process used for the bottom electrode to remove re-deposited material while maintaining a more vertical sidewall etching profile. In the first phase the bottom electrode layer is removed using carbonaceous reactive ion etching until the endpoint. In the second phase an inert gas and/or oxygen plasma is used to remove the polymer that was deposited during the previous etching processes.
    Type: Grant
    Filed: August 30, 2011
    Date of Patent: September 17, 2013
    Assignee: Avalanche Technology Inc.
    Inventors: Kimihiro Satoh, Yiming Huai, Jing Zhang, Rajiv Yadav Ranjan, Parviz Keshtbod, Roger K. Malmhall
  • Patent number: 8537527
    Abstract: A mounting board includes two or more metal layers including the outermost metal layer, and a plurality of metal portions, both of which are formed on a substrate. The plurality of metal portions are formed between a first metal layer of the two or more metal layers and a second metal layer of the two or more metal layers, the first metal layer being the outermost metal layer and the second metal layer being different from the outermost metal layer. The second metal layer includes a plurality of first wiring layers extending in a first direction in a plane. The first metal layer is arranged in zigzags in a second direction intersecting with the first direction and includes a plurality of contact pads connected correspondingly to the plurality of first wiring layers through the metal portions.
    Type: Grant
    Filed: February 9, 2010
    Date of Patent: September 17, 2013
    Assignee: Sony Corporation
    Inventors: Akiyoshi Aoyagi, Eizo Okamoto
  • Patent number: 8524101
    Abstract: The present invention provides a method for manufacturing a semiconductor device. In the method, a connection hole such as a via hole is formed in an interlayer insulating film by plasma etching with high etching uniformity regardless of the array density of connection holes. In the method, an upper layer film having a mask pattern is formed on the interlayer insulating film present on a substrate. A gas required for dehydration is then supplied to the substrate under the condition that an upper surface of the interlayer insulating film is exposed in order to remove moisture from the interlayer insulating film. A portion of the interlayer insulating film is etched to form a connection hole in which an electrical connection portion is to be embedded.
    Type: Grant
    Filed: June 9, 2008
    Date of Patent: September 3, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Yuki Chiba, Shigeru Tahara
  • Patent number: 8513143
    Abstract: The present application discloses a method of manufacturing a semiconductor structure. According to at least one embodiment, a first etch stop layer is formed over a conductive feature and a substrate, and the conductive feature is positioned over the substrate. A second etch stop layer is formed over the first etch stop layer. A first etch is performed to form an opening in the second etch stop layer, and the opening exposes a portion of the first etch stop layer. A second etch is performed to extend the opening downwardly by removing a portion of the exposed first etch stop layer, and the extended opening exposes a portion of the conductive feature.
    Type: Grant
    Filed: August 18, 2011
    Date of Patent: August 20, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Mei-Hsuan Lin, Chih-Hsun Lin, Chih-Kang Chao, Ling-Sung Wang
  • Patent number: 8512586
    Abstract: A method and system for performing gas cluster ion beam (GCIB) etch processing of various materials is described. In particular, the GCIB etch processing includes setting one or more GCIB properties of a GCIB process condition for the GCIB to achieve one or more target etch process metrics.
    Type: Grant
    Filed: September 1, 2011
    Date of Patent: August 20, 2013
    Assignee: TEL Epion Inc.
    Inventors: Martin D. Tabat, Christopher K. Olsen, Yan Shao, Ruairidh MacCrimmon
  • Patent number: 8481431
    Abstract: A method for opening a one-side contact region of a vertical transistor is provided. The one-side contact region of the vertical transistor is opened using a polysilicon layer, a certain portion of which can be selectively removed by a selective ion implantation process. In order to selectively remove the polysilicon layer formed on one of both sides of an active region, at which the one-side contact is to be formed, impurity ion implantation is performed in a direction vertical to the polysilicon layer by a plasma doping process, and a tilt ion implantation using an existing ion implantation process is performed. In this manner, the polysilicon layer is selectively doped, and the undoped portion of the polysilicon layer is selectively removed.
    Type: Grant
    Filed: June 16, 2011
    Date of Patent: July 9, 2013
    Assignee: SK Hynix Inc.
    Inventors: Kyong Bong Rouh, Yong Seok Eun, Eun Shil Park
  • Patent number: 8476166
    Abstract: A manufacturing method of a semiconductor device includes: forming step of forming an etching mask on a second main face of a substrate, the etching mask being made of Cu or Cu alloy and having an opening, the second main face being on an opposite side of a first main face of the substrate where a nitride semiconductor layer is provided; a first etching step of applying a dry etching to the second main face of the substrate with use of the etching mask so that all of or a part of the nitride semiconductor layer is left; a removing step of removing the etching mask after the first etching step; and a second etching step of dry-etching the left nitride semiconductor layer after the removing step.
    Type: Grant
    Filed: September 29, 2010
    Date of Patent: July 2, 2013
    Assignee: Sumitomo Electric Device Innovations, Inc.
    Inventors: Toshiyuki Kosaka, Haruo Kawata, Tsutomu Komatani
  • Patent number: 8440576
    Abstract: A method for patterning a material is provided. The method includes patterning a second material over a first material over a substrate. A surface portion of the patterned second material is converted to form a third material and a remaining patterned second material, wherein the third material is around the remaining patterned second material. One of the remaining patterned second material and the third material is removed to form a mask. The first material is patterned by using the mask.
    Type: Grant
    Filed: April 25, 2008
    Date of Patent: May 14, 2013
    Assignee: Macronix International Co., Ltd.
    Inventor: Shih-Ping Hong
  • Patent number: 8435416
    Abstract: A pattern forming material contains a block copolymer or graft copolymer and forms a structure having micro polymer phases, in which, with respect to at least two polymer chains among polymer chains constituting the block copolymer or graft copolymer, the ratio between N/(Nc-No) values of monomer units constituting respective polymer chains is 1.4 or more, where N represents total number of atoms in the monomer unit, Nc represents the number of carbon atoms in the monomer unit, No represents the number of oxygen atoms in the monomer unit.
    Type: Grant
    Filed: October 21, 2011
    Date of Patent: May 7, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Koji Asakawa, Toshiro Hiraoka, Yoshihiro Akasaka, Yasuyuki Hotta
  • Patent number: 8420547
    Abstract: A plasma processing method performed in a plasma processing apparatus including a processing chamber accommodating a substrate in which a plasma is generated; a mounting table mounting the substrate, which is provided in the processing chamber and to which a plasma attraction high frequency voltage is applied; and a facing electrode provided to face the mounting table in the processing chamber, to which a negative DC voltage is applied, the method including: applying a plasma attraction high frequency voltage to the mounting table for a predetermined period of time; and stopping the application of the plasma attraction high frequency voltage to the mounting table. In the plasma processing method, the application of the plasma attraction high frequency voltage and stopping thereof are alternately repeated.
    Type: Grant
    Filed: February 17, 2010
    Date of Patent: April 16, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Yoshinobu Ooya
  • Patent number: 8383521
    Abstract: A substrate processing method processes a substrate including a processing target film, an organic film provided on the processing target film and having a plurality of line-shaped portions having fine width, and a hard film covering the line-shaped portions and the processing target film exposed between the line-shaped portions. The method includes a first etching step of etching a part of the hard film to expose the organic film and portions of the processing target film between the line-shaped portions; an ashing step of selectively removing the exposed organic film; and a second etching step of etching a part of the remaining hard film.
    Type: Grant
    Filed: March 10, 2010
    Date of Patent: February 26, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Eiichi Nishimura, Masato Kushibiki, Fumiko Yamashita
  • Patent number: 8377785
    Abstract: Disclosed is a transistor that incorporates epitaxially deposited source/drain semiconductor films and a method for forming the transistor. A crystallographic etch is used to form recesses between a channel region and trench isolation regions in a silicon substrate. Each recess has a first side, having a first profile, adjacent to the channel region and a second side, having a second profile, adjacent to a trench isolation region. The crystallographic etch ensures that the second profile is angled so that all of the exposed recess surfaces comprise silicon. Thus, the recesses can be filled by epitaxial deposition without divot formation. Additional process steps can be used to ensure that the first side of the recess is formed with a different profile that enhances the desired stress in the channel region.
    Type: Grant
    Filed: April 6, 2011
    Date of Patent: February 19, 2013
    Assignee: International Business Machines Corporation
    Inventor: Thomas W. Dyer
  • Patent number: 8361907
    Abstract: A method for forming a nanowire field effect transistor (FET) device includes depositing a first semiconductor layer on a substrate wherein a surface of the semiconductor layer is parallel to {110} crystalline planes of the semiconductor layer, epitaxially depositing a second semiconductor layer on the first semiconductor layer, etching the first semiconductor layer and the second semiconductor layer to define a nanowire channel portion that connects a source region pad to a drain region pad, the nanowire channel portion having sidewalls that are parallel to {100} crystalline planes, and the source region pad and the drain region pad having sidewalls that are parallel to {110} crystalline planes, and performing an anisotropic etch that removes primarily material from {100} crystalline planes of the first semiconductor layer such that the nanowire channel portion is suspended by the source region pad and the drain region pad.
    Type: Grant
    Filed: May 10, 2010
    Date of Patent: January 29, 2013
    Assignee: International Business Machines Corporation
    Inventors: Sarunya Bangsaruntip, Guy M. Cohen, Jeffrey W. Sleight
  • Patent number: 8354347
    Abstract: A composite etch stop layer which comprises primary and secondary stop layers is used to form contacts in a dielectric layer to contact regions in a substrate. The secondary etch stop layer includes a high-k dielectric material to achieve high etch selectivity with the dielectric layer during contact formation. The secondary stop layer is removed to expose the contact regions. Removal of the secondary stop layer is achieved with high selectivity to the materials therebelow.
    Type: Grant
    Filed: December 11, 2007
    Date of Patent: January 15, 2013
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Jianhui Ye, Huang Liu, Alex K H See, Wei Lu, Chun Hui Low, Chim Seng Seet, Mei Sheng Zhou, Liang Choo Hsia
  • Patent number: 8338305
    Abstract: The present disclosure provides a method includes forming a multi-fin device. The method includes forming a patterned mask layer on a semiconductor substrate. The patterned mask layer includes a first opening having a first width W1 and a second opening having a second width W2 less than the first width. The patterned mask layer defines a multi-fin device region and an inter-device region, wherein the inter-device region is aligned with the first opening; and the multi-fin device region includes at least one intra-device region being aligned with the second opening.
    Type: Grant
    Filed: October 19, 2010
    Date of Patent: December 25, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsin-Chih Chen, Tsung-Lin Lee, Feng Yuan
  • Patent number: 8329585
    Abstract: A method for reducing line width roughness (LWR) of a feature in an etch layer below a patterned photoresist mask having mask features is provided. The method includes (a) non-etching plasma pre-etch treatment of the photoresist mask, and (b) etching of a feature in the etch layer through the pre-treated photoresist mask using an etching gas. The non-etching plasma pre-etch treatment includes (a1) providing a treatment gas containing H2 and COS, (a2) forming a plasma from the treatment gas, and (a3) stopping the treatment gas.
    Type: Grant
    Filed: November 17, 2009
    Date of Patent: December 11, 2012
    Assignee: Lam Research Corporation
    Inventors: Ben-Li Sheu, Martin Shim, Jonathan Kim
  • Patent number: 8293656
    Abstract: A selective self-aligned dual patterning method. The method includes performing a single lithography operation to form a patterned mask having a narrow feature in a region of a substrate that is to a have pitch-reduced feature and a wide feature in a region of the substrate that is to have a non-pitch-reduced feature. Using the patterned mask, a template mask is formed with a first etch and the patterned mask is then removed from the narrow feature while being retained over the wide feature. The template mask is then thinned with a second etch to introduce a thickness delta in the template mask between the narrow and wide features. A spacer mask is then formed and the thinned narrow template mask is removed to leave a pitch double spacer mask while the thick wide template mask feature is retained to leave a non-pitch reduced mask.
    Type: Grant
    Filed: July 17, 2009
    Date of Patent: October 23, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Hun Sang Kim, Hyungje Woo, Shinichi Koseki, Eda Tuncel, Chung Liu
  • Patent number: 8283256
    Abstract: Methods of forming substrates having two-sided microstructures therein include selectively etching a first surface of the substrate to define a plurality of alignment keys therein that extend through the substrate to a second surface thereof. A direct photolithographic alignment step is then performed on a second surface of the substrate by aligning a photolithography mask to the plurality of alignment keys at the second surface. This direct alignment step is performed during steps to photolithographically define patterns in the second surface.
    Type: Grant
    Filed: February 24, 2011
    Date of Patent: October 9, 2012
    Assignee: Integrated Device Technology inc.
    Inventors: Wanling Pan, Harmeet Bhugra
  • Patent number: 8278223
    Abstract: A method for forming a hole pattern includes forming a hard mask layer for a hole pattern over an etch target layer, forming pillar patterns having a gap therebetween over the hard mask layer for a hole pattern, forming spacer patterns on sidewalls of the pillar patterns, removing the pillar patterns between the spacer patterns, and etching the hard mask layer for a hole pattern by using the spacer patterns as etch barriers.
    Type: Grant
    Filed: May 11, 2010
    Date of Patent: October 2, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sang-Kil Kang
  • Patent number: 8252693
    Abstract: Various systems and methods related to semiconductor devices having a plurality of layers and having a first conductive trace on a first layer electrically connected to a second conductive trace on a second layer and electrically isolated from a third electrical trace on the second layer are provided. A semiconductor structure can include first, second and third layers. The first conducting layer may be etched to form a first trench for the first conductive trace. A layer of material on the second layer in the first trench can define a patch area, wherein the patch area is disposed in a location where the first trench crosses over the third electrical trace. A second trench may be etched in an area defined by the first trench and the patch area to remove material in the second layer exposed by the first trench, leaving material of the layer under the patch area.
    Type: Grant
    Filed: January 27, 2011
    Date of Patent: August 28, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventor: Christophe Pierrat
  • Patent number: 8241940
    Abstract: This disclosure presents manufacturing methods and apparatus designs for making TFSSs from both sides of a re-usable semiconductor template, thus effectively increasing the substrate manufacturing throughput and reducing the substrate manufacturing cost. This approach also reduces the amortized starting template cost per manufactured substrate (TFSS) by about a factor of 2 for a given number of template reuse cycles.
    Type: Grant
    Filed: February 12, 2011
    Date of Patent: August 14, 2012
    Assignee: Solexel, Inc.
    Inventors: Mehrdad M. Moslehi, Karl-Josef Kramer, David Xuan-Qi Wang, Pawan Kapur, Somnath Nag, George D Kamian, Jay Ashjaee, Takao Yonehara
  • Patent number: 8236700
    Abstract: A method of pattern etching a Si-containing anti-reflective coating (ARC) layer is described. The method comprises etching a feature pattern into the silicon-containing ARC layer using plasma formed from a process gas containing SF6 and a hydrocarbon gas. The method further comprises adjusting a flow rate of the hydrocarbon gas relative to a flow rate of the SF6 to reduce a CD bias between a final CD for nested structures in the feature pattern and a final CD for isolated structures in the feature pattern.
    Type: Grant
    Filed: August 17, 2009
    Date of Patent: August 7, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Christopher Cole, Akiteru Ko