Differential Etching Of Semiconductor Substrate Patents (Class 438/735)
  • Publication number: 20100227478
    Abstract: Provided are a substrate processing apparatus and a method of manufacturing a semiconductor device which can prevent a sealing member from being deteriorated due to a thermal radiation from a heater. The substrate processing apparatus includes a processing container, a substrate stage installed in the processing container, on which a substrate is placed, a heater installed in the substrate stage and configured to heat the substrate, a thermal radiation attenuator adjacent to the processing container, and a gas supply pipe connected to a gas inlet part with a sealing member interposed therebetween and configured to supply a processing gas to an inside of the processing container, wherein the thermal radiation attenuator is installed on a line connecting the heater and the sealing member.
    Type: Application
    Filed: March 3, 2010
    Publication date: September 9, 2010
    Applicant: HITACHI-KOKUSAI ELECTRIC INC.
    Inventor: Koichiro HARADA
  • Patent number: 7776709
    Abstract: A method (and apparatus) of replicating a pattern on a structure, includes using imprint lithography to replicate a pattern formed on a first structure onto a portion of a second structure.
    Type: Grant
    Filed: October 26, 2007
    Date of Patent: August 17, 2010
    Assignee: International Business Machines Corporation
    Inventors: Matthew E. Colburn, Yves C. Martin, Theodore G. van Kessel, Hematha K. Wickramasinghe
  • Publication number: 20100203739
    Abstract: A method for selective etching of an SiGe mixed semiconductor layer on a silicon semiconductor substrate by dry chemical etching of the SiGe mixed semiconductor layer with the aid of an etching gas selected from the group including ClF3 and/or ClF5, a gas selected from the group including Cl2 and/or HCl being added to the etching gas.
    Type: Application
    Filed: July 2, 2008
    Publication date: August 12, 2010
    Inventors: Volker Becker, Franz Laermer, Tino Fuchs, Christina Leinenbach
  • Publication number: 20100187658
    Abstract: A method of fabricating integrated circuits is described. A multi-material hard mask is formed on an underlying layer to be patterned. In a first patterning process, portions of the first material of the hard mask are etched, the first patterning process being selective to etch the first material over the second material. In a second patterning process, portions of the second material of the hard mask are etched, the second patterning process being selective to etch the second material over the first material. The first and second patterning processes forming a desired pattern in the hard mask which is then transferred to the underlying layer.
    Type: Application
    Filed: March 20, 2008
    Publication date: July 29, 2010
    Inventor: Haiqing Wei
  • Publication number: 20100187543
    Abstract: Silicon carbide semiconductor device includes trench, in which connecting trench section is connected to straight trench section. Straight trench section includes first straight trench and second straight trench extending in parallel to each other. Connecting trench section includes first connecting trench perpendicular to straight trench section, second connecting trench that connects first straight trench and first connecting trench to each other, and third connecting trench that connects second straight trench and first connecting trench to each other. Second connecting trench extends at 30 degrees of angle with the extension of first straight trench. Third connecting trench extends at 30 degrees of angle with the extension of second straight trench. A manufacturing method according to the invention for manufacturing a silicon carbide semiconductor device facilitates preventing defects from being causes in a silicon carbide semiconductor device during the manufacture thereof.
    Type: Application
    Filed: December 1, 2009
    Publication date: July 29, 2010
    Applicant: FUJI ELECTRIC SYSTEMS CO., LTD.
    Inventors: Yasuyuki Kawada, Takeshi Tawara
  • Patent number: 7759253
    Abstract: A method of lithography patterning includes forming a first material layer on a substrate; forming a first patterned resist layer including at least one opening therein on the first material layer; forming a second material layer on the first patterned resist layer and the first material layer; forming a second patterned resist layer including at least one opening therein on the second material layer; and etching the first and second material layers uncovered by the first and second patterned resist layers.
    Type: Grant
    Filed: November 28, 2006
    Date of Patent: July 20, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Ching-Yu Chang
  • Patent number: 7754552
    Abstract: A hard mask may be formed and maintained over a polysilicon gate structure in a metal gate replacement technology. The maintenance of the hard mask, such as a nitride hard mask, may protect the polysilicon gate structure 14 from the formation of silicide or etch byproducts. Either the silicide or the etch byproducts or their combination may block the ensuing polysilicon etch which is needed to remove the polysilicon gate structure and to thereafter replace it with an appropriate metal gate technology.
    Type: Grant
    Filed: July 29, 2003
    Date of Patent: July 13, 2010
    Assignee: Intel Corporation
    Inventors: Chris E. Barns, Justin K. Brask, Mark Doczy
  • Publication number: 20100173499
    Abstract: A method of removing a silicon nitride or a nitride-based bottom etch stop layer in a copper damascene structure by etching the bottom etch stop layer is disclosed, with the method using a high density, high radical concentration plasma containing fluorine and oxygen to minimize back sputtering of copper underlying the bottom etch stop layer and surface roughening of the low-k interlayer dielectric caused by the plasma.
    Type: Application
    Filed: March 19, 2010
    Publication date: July 8, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hun-Jan Tao, Ryan Chia-Jen Chen, Mong-Song Liang
  • Publication number: 20100159709
    Abstract: A pattern correcting method for correcting a design pattern to form a desired pattern on a wafer is disclosed, which comprises defining an allowable dimensional change quantity of each of design patterns, defining a pattern correction condition for the each design pattern based on the allowable dimensional change quantity defined for the each design pattern, and correcting the each design pattern based on the pattern correction condition defined for the each design pattern.
    Type: Application
    Filed: March 4, 2010
    Publication date: June 24, 2010
    Inventors: Toshiya KOTANI, Satoshi Tanaka, Shigeki Nojima, Koji Hashimoto, Soichi Inoue
  • Publication number: 20100129958
    Abstract: Embodiments of the present invention generally relates to an apparatus and a method for processing semiconductor substrates. Particularly, embodiments of the present invention relates to methods and apparatus for trench and via profile modification prior to filling the trench and via. One embodiment of the present invention comprises forming a sacrifice layer to pinch off a top opening of a trench structure by exposing the trench structure to an etchant. In one embodiment, the etchant is configured to remove the first material by reacting with the first material and generating a by-product, which forms the sacrifice layer.
    Type: Application
    Filed: November 18, 2009
    Publication date: May 27, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Mei Chang, Chien-Teh Kao, Xinliang Lu, Zhenbin Ge
  • Patent number: 7723236
    Abstract: Mixing ratio and flow rate of a first gaseous mixture supplied to a central portion of the substrate are set. Subsequently, etching is performed by changing a mixing ratio of a second gaseous mixture supplied to an outer peripheral portion of the substrate while a setting of the first gaseous mixture is fixed, thereby, setting the mixing ratio of the second gaseous mixture based on an etching result to make etching selectivities and shapes at the central portion and the outer peripheral portion of the substrate uniform. Then, etching is performed by changing a flow rate of the second gaseous mixture while settings of the first gaseous mixture and the mixing ratio of the second gaseous mixture are fixed, thereby, setting the flow rate of the second gaseous mixture based on etching results to make etching rates at the central portion and the outer peripheral portion of the substrate uniform.
    Type: Grant
    Filed: January 18, 2006
    Date of Patent: May 25, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Hiromasa Mochiki
  • Patent number: 7713882
    Abstract: A patterning method for a semiconductor substrate is disclosed. A substrate is provided and a stack structure is laid thereon. The stack layer includes at least a target layer and a pad layer sequentially formed on the substrate. Follow by a lithography process, wherein photoresists are laid on the stack layer to form a plurality of photoresist elements. Thus, a plurality of pattern is formed on the target layer, and a portion of target layer's surface is exposed. Lastly, ion implanting is provided and defines a doped area as hard mask for the etching process.
    Type: Grant
    Filed: March 7, 2008
    Date of Patent: May 11, 2010
    Assignee: Nanya Technology Corporation
    Inventors: Chien-Er Huang, Kuo-Yao Cho
  • Patent number: 7709397
    Abstract: A method for etching a high-k dielectric layer on a substrate in a plasma processing system is described. The high-k dielectric layer can, for example, comprise HfO2. The method comprises elevating the temperature of the substrate above 200° C. (i.e., typically of order 400° C.), introducing a process gas comprising a halogen-containing gas, igniting a plasma from the process gas, and exposing the substrate to the plasma. The process gas can further include a reduction gas in order to improve the etch rate of HfO2 relative to Si and SiO2.
    Type: Grant
    Filed: May 25, 2004
    Date of Patent: May 4, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Lee Chen, Hiromitsu Kambara, Nobuhiro Iwama, Akiteru Ko, Hiromasa Mochiki, Masaaki Hagihara
  • Publication number: 20100099263
    Abstract: A method and apparatus for selectively etching doped semiconductor oxides faster than undoped oxides. The method comprises applying dissociative energy to a mixture of nitrogen trifluoride and hydrogen gas remotely, flowing the activated gas toward a processing chamber to allow time for charged species to be extinguished, and applying the activated gas to the substrate. Reducing the ratio of hydrogen to nitrogen trifluoride increases etch selectivity. A similar process may be used to smooth surface defects in a silicon surface.
    Type: Application
    Filed: October 20, 2008
    Publication date: April 22, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Chien-Teh Kao, Xinliang Lu, Haichun Yang, Zhenbin Ge, David T. Or, Mei Chang
  • Patent number: 7700491
    Abstract: A method of preventing formation of stringers adjacent a side of a CMOS gate stack during the deposition of mask and poly layers for the formation of a base and emitter of a bi-polar device on a CMOS integrated circuit wafer. The stringers are formed by incomplete removal of a hard mask layer over an emitter poly layer over a nitride mask layer. The method includes overetching the hard mask layer with a first etchant having a higher selectivity for the emitter poly material than for the material of the hard mask, determining an end point for the overetching step by detection of nitride in the etchant and applying a poly etchant that is selective with respect to nitride to remove any residual emitter poly.
    Type: Grant
    Filed: August 10, 2005
    Date of Patent: April 20, 2010
    Assignee: Agere Systems Inc.
    Inventors: Milton Beachy, Thomas Craig Esry, Daniel Charles Kerr, Thomas M. Oberdick, Mario Pita
  • Publication number: 20100093179
    Abstract: A pattern forming method includes preparing a target object including silicon with an initial pattern formed thereon and having a first line width; performing a plasma oxidation process on the silicon surface inside a process chamber of a plasma processing apparatus and thereby forming a silicon oxide film on a surface of the initial pattern; and removing the silicon oxide film. The pattern forming method is arranged to repeatedly perform formation of the silicon oxide film and removal of the silicon oxide film so as to form an objective pattern having a second line width finer than the first line width on the target object.
    Type: Application
    Filed: December 20, 2007
    Publication date: April 15, 2010
    Applicants: National University Corporation Nagoya University, TOKYO ELECTRON LIMITED
    Inventors: Masaru Hori, Yoshiro Kabe, Toshihiko Shiozawa, Junichi Kitagawa
  • Publication number: 20100093180
    Abstract: A method of fabricating a semiconductor device according to one embodiment includes: forming a first opening pattern and a second opening pattern larger in size than the first opening pattern in a first film formed above a semiconductor substrate and in a second film on the first film, the second film comprising a material different from the first film; forming a blocking film on the second film, the blocking film substantially blocking only the first opening pattern between the first and second opening patterns of the second film; and selectively applying isotropic etching to an inner side face of the second opening pattern of the first film after forming the blocking film, thereby enlarging only the size of the second opening pattern between the size of the first opening pattern and the size of the second opening pattern of the first film.
    Type: Application
    Filed: June 24, 2009
    Publication date: April 15, 2010
    Inventor: Eimei NAKAYAMA
  • Publication number: 20100093177
    Abstract: A silicon wafer surface other than a defect is oxidized by ozone to form a silicon oxide film. A hydrofluoric acid is sprayed and subsequently a cleaning gas is sprayed onto the surface of the silicon wafer.
    Type: Application
    Filed: September 30, 2009
    Publication date: April 15, 2010
    Applicant: SUMCO TECHXIV CORPORATION
    Inventors: Kazuaki KOZASA, Tomonori KAWASAKI, Takahisa SUGIMAN, Hironori NISHIMURA
  • Patent number: 7695632
    Abstract: A method for forming a feature in an etch layer is provided. A photoresist layer is formed over the etch layer. The photoresist layer is patterned to form photoresist features with photoresist sidewalls. A control layer is formed over the photoresist layer and bottoms of the photoresist features. A conformal layer is deposited over the sidewalls of the photoresist features and control layer to reduce the critical dimensions of the photoresist features. Openings in the control layer are opened with a control layer breakthrough chemistry. Features are etched into the etch layer with an etch chemistry, which is different from the control layer break through chemistry, wherein the control layer is more etch resistant to the etch with the etch chemistry than the conformal layer.
    Type: Grant
    Filed: May 31, 2005
    Date of Patent: April 13, 2010
    Assignee: Lam Research Corporation
    Inventors: Sangheon Lee, Dae-Han Choi, Jisoo Kim, Peter Cirigliano, Zhisong Huang, Robert Charatan, S.M. Reza Sadjadi
  • Patent number: 7696098
    Abstract: A unipolar semiconductor laser is provided in which an active region is sandwiched in a guiding structure between an upper and lower cladding layer, the lower cladding layer being situated on a semiconducting substrate. The unipolar semiconductor laser comprises a raised ridge section running from end to end between end mirrors defining the laser cavity. The ridge section aids in optical and electrical confinement. The ridge waveguide is divided in a plurality of cavity segments (at least two). Lattice structures can be arranged on and/or adjacent to these cavity segments. Each cavity segment is in contact with upper metallic electrodes. A metallic electrode coupled to the bottom surface of the semiconducting substrate facilitates current injection through the device.
    Type: Grant
    Filed: October 12, 2007
    Date of Patent: April 13, 2010
    Assignee: Nanoplus GmbH
    Inventors: Marc Fischer, Alfred Forchel
  • Patent number: 7691752
    Abstract: Methods and associated structures of forming a microelectronic device are described. Those methods may include plasma etching a portion of a source/drain region of a transistor, and then selectively wet etching the source drain region along a (100) plane to form at least one (111) region in the recessed source/drain region.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: April 6, 2010
    Assignee: Intel Corporation
    Inventors: Pushkar Ranade, Keith Zawadzki, Christopher Auth
  • Publication number: 20100075491
    Abstract: A silicon compound film is dry etched by parallel-plate type dry etching using an etching gas including at least COF2.
    Type: Application
    Filed: September 8, 2009
    Publication date: March 25, 2010
    Applicant: Casio Computer Co., Ltd.
    Inventor: Hisao TOSAKA
  • Patent number: 7682515
    Abstract: The inventive method includes a preparation step during which the substrate is covered with a layer, a pressing step in which a mould including a pattern of recesses and protrusions is pressed into part of the thickness of the aforementioned layer, at least one etching step in which the layer is etched until parts of the surface of the substrate have been stripped, and a substrate etching step whereby the substrate is etched using an etching pattern which is defined from the mould pattern. The preparation step includes a sub-step consisting of the formation of a lower sub-layer of curable material, a step involving the curing of said layer and a sub-step including the formation of an outer sub-layer which is adjacent to the cured sub-layer. Moreover, during the pressing step, the above-mentioned protrusions in the mould penetrate the outer sub-layer until contact is reached with the cured sub-layer.
    Type: Grant
    Filed: December 22, 2003
    Date of Patent: March 23, 2010
    Assignees: Commissarieat A l'Energie Atomique, Centre National De La Recherche
    Inventors: Corinne Perret, Cecile Gourgon, Stephan Landis
  • Patent number: 7682991
    Abstract: A method of manufacturing a silicon carbide semiconductor device includes forming a trench for a MOS gate in an SiC substrate by dry etching. Thereafter, the substrate with the trench is heat treated. The heat treatment includes heating the substrate in an Ar gas atmosphere or in a mixed gas atmosphere containing SiH4 and Ar at a temperature between 1600° C. and 1800° C., and thereafter in a hydrogen gas atmosphere at a temperature between 1400° C. and 1500° C. The present manufacturing method smoothens the trench inner surface and rounds the corners in the trench to prevent the electric field from localizing thereto.
    Type: Grant
    Filed: December 19, 2007
    Date of Patent: March 23, 2010
    Assignee: Fuji Electric Device Technology Co., Ltd.
    Inventors: Yasuyuki Kawada, Takeshi Tawara, Tae Tawara
  • Patent number: 7682985
    Abstract: A method for etching a stack with at least one silicon germanium layer over a substrate in a processing chamber is provided. A silicon germanium etch is provided. An etchant gas is provided into the processing chamber, wherein the etchant gas comprises HBr, an inert diluent, and at least one of O2 and N2. The substrate is cooled to a temperature below 40° C. The etching gas is transformed to a plasma to etch the silicon germanium layer.
    Type: Grant
    Filed: March 17, 2004
    Date of Patent: March 23, 2010
    Assignee: Lam Research Corporation
    Inventors: C. Robert Koemtzopoulos, Yoko Yamaguchi Adams, Yoshinori Miyamoto, Yousun Kim Taylor
  • Patent number: 7666796
    Abstract: Some embodiments of the present invention include apparatuses and methods relating to improved substrate patterning for multi-gate transistors.
    Type: Grant
    Filed: March 23, 2006
    Date of Patent: February 23, 2010
    Assignee: Intel Corporation
    Inventors: Ibrahim Ban, Uday Shah, Allen B. Gardiner
  • Patent number: 7662724
    Abstract: A method for manufacturing a capacitor includes the steps of: forming a lower electrode above a base substrate; forming a dielectric film composed of ferroelectric material or piezoelectric material above the lower electrode; forming an upper electrode above the dielectric film; forming a silicon oxide film that covers at least the dielectric film and the upper electrode; and forming a hydrogen barrier film that covers the silicon oxide film.
    Type: Grant
    Filed: July 10, 2006
    Date of Patent: February 16, 2010
    Assignee: Seiko Epson Corporation
    Inventors: Masao Nakayama, Daisuke Kobayashi
  • Patent number: 7648915
    Abstract: Some embodiments include methods of recessing multiple materials to a common depth utilizing etchant comprising C4F6 and C4F8. The recessed materials may be within isolation regions, and the recessing may be utilized to form trenches for receiving gatelines. Some embodiments include structures having an island of semiconductor material laterally surrounded by electrically insulative material. Two gatelines extend across the insulative material and across the island of semiconductor material. One of the gatelines is recessed deeper into the electrically insulative material than the other.
    Type: Grant
    Filed: January 12, 2007
    Date of Patent: January 19, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Larson Lindholm, Aaron R. Wilson, David K. Hwang
  • Publication number: 20100009534
    Abstract: A method for patterning a semiconductor device can include forming a conductive layer over a semiconductor substrate; alternatively forming positive photoresists and negative photoresists over the conductive layer, forming a plurality of first conductive lines by selectively removing a portion of the conductive layer using the positive photoresist and the negative photoresist as masks; forming an oxide film over the semiconductor substrate including the first conductive lines and the conductive layer; performing a planarization process over the oxide film using the uppermost surface of the first conductive line as a target; removing the plurality of first conductive lines using the oxide film as a mask; forming a plurality if trenches in the semiconductor substrate and removing a portion of the oxide film to expose the uppermost surface of the conductive layer; and then forming a plurality of second conductive lines by removing the exposed conductive layer using the oxide film as a mask.
    Type: Application
    Filed: September 15, 2009
    Publication date: January 14, 2010
    Inventor: Eun-Soo Jeong
  • Publication number: 20100009543
    Abstract: Disclosed is a method for manufacturing a semiconductor device. The method includes sequentially depositing a polishing stop film and a mask oxide film on a semiconductor substrate, forming a photosensitive film pattern on the mask oxide film to expose a device isolation region, sequentially etching the mask oxide film and the polishing stop film under first and second etching process conditions using the photosensitive film pattern as a mask to form a hard mask pattern, and etching the semiconductor substrate under third etching process conditions using the hard mask pattern to form a trench for a device-isolation film. Advantageously, the method simplifies an overall process without using a spacer and secures a desired margin in the subsequent processes, e.g., gap-filling an insulating material in the trench and chemical mechanical polishing of the insulating material.
    Type: Application
    Filed: February 23, 2009
    Publication date: January 14, 2010
    Inventor: Eun-Sang Cho
  • Patent number: 7638384
    Abstract: Embodiments relate to a method of fabricating a semiconductor device. In embodiments, a gate pattern may be formed on a semiconductor substrate, and sidewalls having a lower height than a height of the gate pattern may be formed at both sides of the gate pattern using a photoresist pattern. A silicide layer may be formed on exposed upper surface and side surfaces of the gate pattern and a portion of the semiconductor substrate at both sides of the sidewalls. Therefore, the silicide layer formed on a gate may be enlarged, and may reduce gate resistance.
    Type: Grant
    Filed: December 26, 2006
    Date of Patent: December 29, 2009
    Assignee: Dongbu HiTek Co. Ltd.
    Inventor: Jung Hak Myung
  • Patent number: 7629264
    Abstract: The present invention in one embodiment provides a method of forming an interconnect comprising, providing a interlevel dielectric layer atop a substrate, the interlevel dielectric layer including at least one tungsten (W) stud extending from an upper surface of the interlevel dielectric to the substrate; recessing an upper surface of the at least one tungsten (W) stud below the upper surface of the interlevel dielectric to provide at least one recessed tungsten (W) stud; forming a first low-k dielectric layer atop the upper surface of the interlevel dielectric layer and the at least one recessed tungsten (W) stud; forming a opening through the first low-k dielectric layer to expose an upper surface of the at least one recessed tungsten stud; and filling the opening with copper (Cu).
    Type: Grant
    Filed: April 9, 2008
    Date of Patent: December 8, 2009
    Assignee: International Business Machines Corporation
    Inventors: Griselda Bonilla, Kaushik A. Kumar, Lawrence A. Clevenger, Stephan Grunow, Kevin S. Petrarca, Roger A. Quon
  • Patent number: 7628866
    Abstract: A method of cleaning a wafer after an etching process is provided. A substrate having an etching stop layer, a dielectric layer, a patterned metal hard mask sequentially formed thereon is provided. Using the patterned metal hard mask, an opening is defined in the dielectric layer. The opening exposes a portion of the etching stop layer. A dry etching process is performed in the environment of helium to remove the etching stop layer exposed by the opening. A dry cleaning process is performed on the wafer surface using a mixture of nitrogen and hydrogen as the reactive gases. A wet cleaning process is performed on the wafer surface using a cleaning solution containing a trace amount of hydrofluoric acid.
    Type: Grant
    Filed: November 23, 2006
    Date of Patent: December 8, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Miao-Chun Lin, Cheng-Ming Weng, Chun-Jen Huang
  • Patent number: 7629262
    Abstract: In an embodiment, a method of forming a lower electrode of a capacitor in a semiconductor memory device includes etching a mold oxide layer to have at a cylindrical structure, resulting in an electrode with increased surface area. The cylindrical structure may have more than one radius. This increased surface area results in an increased capacitance. An excessive etch phenomenon, which occurs because a sacrificial oxide layer is etched at a higher rate than the mold oxide layer, is avoided.
    Type: Grant
    Filed: November 18, 2005
    Date of Patent: December 8, 2009
    Assignee: Samsung Electronic Co., Ltd.
    Inventors: Jung-Wook Kim, Young-Joo Cho
  • Patent number: 7625603
    Abstract: A silicon oxide layer is formed by oxidation or decomposition of a silicon precursor gas in an oxygen-rich environment followed by annealing. The silicon oxide layer may be formed with slightly compressive stress to yield, following annealing, an oxide layer having very low stress. The silicon oxide layer thus formed is readily etched without resulting residue using HF-vapor.
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: December 1, 2009
    Assignee: Robert Bosch GmbH
    Inventors: Aaron Partridge, Markus Lutz, Silvia Kronmueller
  • Publication number: 20090275208
    Abstract: Some embodiments include methods of removing silicon dioxide in which the silicon dioxide is exposed to a mixture that includes activated hydrogen and at least one primary, secondary, tertiary or quaternary ammonium halide. The mixture may also include one or more of thallium, BX3 and PQ3, where X and Q are halides. Some embodiments include methods of selectively etching undoped silicon dioxide relative to doped silicon dioxide, in which thallium is incorporated into the doped silicon dioxide prior to the etching. Some embodiments include compositions of matter containing silicon dioxide doped with thallium to a concentration of from about 1 weight % to about 10 weight %.
    Type: Application
    Filed: May 2, 2008
    Publication date: November 5, 2009
    Inventor: Nishant Sinha
  • Patent number: 7608504
    Abstract: A memory is provided. The memory includes a substrate, a number of parallel bit lines, a number of parallel word lines and at least a oxide-nitride-oxide (ONO) structure. The bit lines are disposed in the substrate. The word lines are disposed on the substrate. The word lines are crossed with but not perpendicular to the bit lines. The ONO structure is disposed between the word lines and the substrate.
    Type: Grant
    Filed: August 30, 2006
    Date of Patent: October 27, 2009
    Assignee: Macronix International Co., Ltd.
    Inventors: Chien-Hung Liu, Shou-Wei Huang, Ying-Tso Chen, Yu-Tsung Lin
  • Publication number: 20090246966
    Abstract: For integrated circuit fabrication, at least one spacer support structure is formed in a first area over a semiconductor substrate, and a mask material is deposited on exposed surfaces of the spacer support structure and on a second area over the semiconductor substrate. A masking structure is formed on a portion of the mask material in the second area, and the mask material is patterned to form spacers on sidewalls of the spacer support structure and to form a mask pattern under the masking structure. The spacer support structure and the masking structure are comprised of respective high carbon content materials that have been spin-coated and have substantially a same etch selectivity.
    Type: Application
    Filed: July 9, 2008
    Publication date: October 1, 2009
    Inventors: Nam-Myun Cho, Myeong-Cheol Kim, Shi-Yong Yi, Young-Hoon Song, Young-Ju Park
  • Patent number: 7595206
    Abstract: A semiconductor light emitting device can have stable electric characteristics and can emit light with high intensity from a substrate surface. The device can include a transparent substrate and a semiconductor layer on the substrate. The semiconductor layer can include a first conductive type semiconductor layer, a luminescent layer, a second conductive type semiconductor layer, and first and second electrodes disposed to make contact with the first and second conductive type semiconductor layers, respectively. The first conductive type semiconductor layer, the luminescent layer, and the second conductive type semiconductor layer can be laminated in order from the side adjacent the substrate. An end face of the semiconductor layer can include a first terrace provided in an end face of the first conductive type semiconductor layer in parallel with the substrate surface, and an inclined end face region provided nearer to the substrate than the first terrace.
    Type: Grant
    Filed: February 14, 2008
    Date of Patent: September 29, 2009
    Assignee: Stanley Electric Co., Ltd.
    Inventors: Naochika Horio, Munehiro Kato, Masahiko Tsuchiya, Satoshi Tanaka
  • Publication number: 20090236629
    Abstract: The present invention provides a substrate and a semiconductor light emitting device. Convexes having a curved surface are formed on the substrate. The semiconductor light emitting device comprises a substrate on which convexes having a curved surface are formed and a semiconductor layer on the substrate.
    Type: Application
    Filed: July 5, 2006
    Publication date: September 24, 2009
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Naohiro Nishikawa, Kazumasa Ueda, Kenji Kasahara, Yoshihiko Tsuchida
  • Patent number: 7592264
    Abstract: A method of removing materials, and preferably photoresist, from a substrate comprises dispensing a liquid sulfuric acid composition comprising sulfuric acid and/or its desiccating species and precursors and having a water/sulfuric acid molar ratio of no greater than 5:1 onto an material coated substrate in an amount effective to substantially uniformly coat the material coated substrate. The substrate is preferably heated to a temperature of at least about 90° C., either before, during or after dispensing of the liquid sulfuric acid composition. After the substrate is at a temperature of at least about 90° C., the liquid sulfuric acid composition is exposed to water vapor in an amount effective to increase the temperature of the liquid sulfuric acid composition above the temperature of the liquid sulfuric acid composition prior to exposure to the water vapor. The substrate is then preferably rinsed to remove the material.
    Type: Grant
    Filed: November 22, 2006
    Date of Patent: September 22, 2009
    Assignee: FSI International, Inc.
    Inventors: Kurt Karl Christenson, Ronald J. Hanestad, Patricia Ann Ruether, Thomas J. Wagener
  • Publication number: 20090215263
    Abstract: A method of increasing etch rate during deep silicon dry etch by altering the geometric shape of the etch mask is presented. By slightly altering the shape of the etch mask, the etch rate is increased in one area where an oval etch mask is used as compared to another areas where different geometrically-shaped etch masks are used even though nearly the same amount of silicon is exposed. Additionally, the depth of the via can be controlled by using different geometrically-shaped etch masks while maintaining virtually the same size in diameter for all the vias.
    Type: Application
    Filed: May 4, 2009
    Publication date: August 27, 2009
    Applicant: Micron Technology, Inc.
    Inventors: Kyle Kirby, Swarnal Borthakur
  • Patent number: 7569489
    Abstract: The present invention relates to high performance three-dimensional (3D) field effect transistors (FETs). Specifically, a 3D semiconductor structure having a bottom surface oriented along one of a first set of equivalent crystal planes and multiple additional surfaces oriented along a second, different set of equivalent crystal planes can be used to form a high performance 3D FET with carrier channels oriented along the second, different set of equivalent crystal planes. More importantly, such a 3D semiconductor structure can be readily formed over the same substrate with an additional 3D semiconductor structure having a bottom surface and multiple additional surfaces all oriented along the first set of equivalent crystal planes. The additional 3D semiconductor structure can be used to form an additional 3D FET, which is complementary to the above-described 3D FET and has carrier channels oriented along the first set of equivalent crystal planes.
    Type: Grant
    Filed: September 7, 2007
    Date of Patent: August 4, 2009
    Assignee: International Business Machines Corporation
    Inventors: Thomas W. Dyer, Haining S. Yang
  • Publication number: 20090180168
    Abstract: An actuator 1 according to the present invention includes: a first movable section 5; a second movable section 6 supporting the first movable section 5; and a stationary section 13 supporting the second movable section 6. The second movable section 6 includes: a first conductive portion 6a for applying a first voltage to the first movable section 5; a second conductive portion 6b to which a second voltage is applied; and backlining 15 for stabilizing the first conductive portion 6a and the second conductive portion 6b to each other in an electrically insulated state. The backlining 15 stabilizes the first conductive portion 6a and the second conductive portion 6b from a face of the actuator 1 opposite from the face on which the mirror section 34 is provided.
    Type: Application
    Filed: September 19, 2006
    Publication date: July 16, 2009
    Inventors: Akira Kurozuka, Hiroshi Obi
  • Patent number: 7560389
    Abstract: A method for fabricating a semiconductor element on a semiconductor substrate having a support substrate and a semiconductor layer above the support substrate. The method includes preparing the semiconductor substrate having a transistor formation region and an element isolation region both defined thereon; forming a pad oxide film on the semiconductor layer of the semiconductor substrate; forming an oxidation-resistant mask layer on the pad oxide film; forming a resist mask to cover the transistor formation region on the oxidation-resistant mask layer; performing a first etching process for etching the oxidation-resistant mask layer using the resist mask as a mask to expose the pad oxide film of the element isolation region; and removing the resist mask and oxidizing the semiconductor layer below the exposed pad oxide film by LOCOS using the exposed oxidation-resistant mask layer as a mask to form an element isolation layer.
    Type: Grant
    Filed: May 8, 2006
    Date of Patent: July 14, 2009
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Kousuke Hara
  • Publication number: 20090176376
    Abstract: For patterning during integrated circuit fabrication, a first pattern of first masking structures is formed, and a buffer layer is formed on exposed surfaces of the first masking structures. Also, a second pattern of second masking structures is formed in recesses between the buffer layer at sidewalls of the first masking structures. Furthermore, the first and masking structures are formed from spin-coating respective high carbon containing materials. Such first and second masking structures pattern a target layer with higher pitch than possible with traditional photolithography.
    Type: Application
    Filed: July 9, 2008
    Publication date: July 9, 2009
    Inventors: Shi-Yong Yi, Myeong-Cheol Kim, Dong-Ki Yoon, Kyung-Yub Jeon, Ji-Hoon Cha
  • Publication number: 20090152110
    Abstract: A chip for a cell electrophysiological sensor has a substrate. The substrate has a through-hole formed from the upside to the downside, and the opening of the through-hole is formed in a curved surface curved from the upside and downside of the substrate toward the inner side of the through-hole. In this configuration, the electrolyte solution (first electrolyte solution and second electrolyte solution) flows more smoothly, and the sample cell can be sucked accurately, and the trapping rate of the sample cells is improved.
    Type: Application
    Filed: May 21, 2007
    Publication date: June 18, 2009
    Applicant: PANASONIC CORPORATION
    Inventors: Soichiro Hiraoka, Masaya Nakatani, Hiroshi Ushio, Akiyoshi Oshima
  • Patent number: 7547635
    Abstract: A process of etching openings in a dielectric layer includes supporting a semiconductor substrate in a plasma etch reactor, the substrate having a dielectric layer and a patterned photoresist and/or hardmask layer above the dielectric layer; supplying to the plasma etch reactor an etchant gas comprising (a) a fluorocarbon gas (CxFyHz, where x?1, y?1, and z?0), (b) a silane-containing gas, hydrogen or a hydrocarbon gas (CxHy, where x?1 and y?4), (c) an optional oxygen-containing gas, and (d) an optional inert gas, wherein the flow rate ratio of the silane-containing gas to fluorocarbon gas is less than or equal to 0.1, or the flow rate ratio of the hydrogen or hydrocarbon gas to fluorocarbon gas is less than or equal to 0.5; energizing the etchant gas into a plasma; and plasma etching openings in the dielectric layer with enhanced photoresist/hardmask to dielectric layer selectivity and/or minimal photoresist distortion or striation.
    Type: Grant
    Filed: June 14, 2002
    Date of Patent: June 16, 2009
    Assignee: Lam Research Corporation
    Inventors: Aaron Eppler, Mukund Srinivasan, Robert Chebi
  • Publication number: 20090146296
    Abstract: A composite etch stop layer which comprises primary and secondary stop layers is used to form contacts in a dielectric layer to contact regions in a substrate. The secondary etch stop layer includes a high-k dielectric material to achieve high etch selectivity with the dielectric layer during contact formation. The secondary stop layer is removed to expose the contact regions. Removal of the secondary stop layer is achieved with high selectivity to the materials therebelow.
    Type: Application
    Filed: December 11, 2007
    Publication date: June 11, 2009
    Applicant: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Jianhui YE, Huang LIU, Alex KH SEE, Wei LU, Chun Hui LOW, Chim Seng SEET, Mei Sheng ZHOU, Liang Choo HSIA
  • Patent number: 7534686
    Abstract: Disclosed is a semiconductor fin construction useful in FinFET devices that incorporates an upper region and a lower region with wherein the upper region is formed with substantially vertical sidewalls and the lower region is formed with inclined sidewalls to produce a wider base portion. The disclosed semiconductor fin construction will also typically include a horizontal step region at the interface between the upper region and the lower region. Also disclosed are a series of methods of manufacturing semiconductor devices incorporating semiconductor fins having this dual construction and incorporating various combinations of insulating materials such as silicon dioxide and/or silicon nitride for forming shallow trench isolation (STI) structures between adjacent semiconductor fins.
    Type: Grant
    Filed: October 31, 2006
    Date of Patent: May 19, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Deok Hyung Lee, Byeong Chan Lee, In Soo Jung, Yong Hoon Son, Siyoung Choi, Taek Jung Kim