Differential Etching Of Semiconductor Substrate Patents (Class 438/735)
  • Patent number: 8236700
    Abstract: A method of pattern etching a Si-containing anti-reflective coating (ARC) layer is described. The method comprises etching a feature pattern into the silicon-containing ARC layer using plasma formed from a process gas containing SF6 and a hydrocarbon gas. The method further comprises adjusting a flow rate of the hydrocarbon gas relative to a flow rate of the SF6 to reduce a CD bias between a final CD for nested structures in the feature pattern and a final CD for isolated structures in the feature pattern.
    Type: Grant
    Filed: August 17, 2009
    Date of Patent: August 7, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Christopher Cole, Akiteru Ko
  • Patent number: 8232215
    Abstract: A method for forming a plurality of variable linewidth spacers adjoining a plurality of uniformly spaced topographic features uses a conformal resist layer upon a spacer material layer located over the plurality of uniformly spaced topographic features. The conformal resist layer is differentially exposed and developed to provide a differential thickness resist layer that is used as a sacrificial mask when forming the variable linewidth spacers. A method for forming uniform linewidth spacers adjoining narrowly spaced topographic features and widely spaced topographic features over the same substrate uses a masked isotropic etching of a variable thickness spacer material layer to provide a more uniform partially etched spacer material layer, followed by an unmasked anisotropic etching of the partially etched spacer material layer. A related method for forming the uniform linewidth spacers uses a two-step anisotropic etch method that includes at least one masking process step.
    Type: Grant
    Filed: November 20, 2009
    Date of Patent: July 31, 2012
    Assignee: International Business Machines Corporation
    Inventors: James A. Culp, Jeffrey P. Gambino, John J. Ellis-Monaghan, Kirk D. Peterson, Jed H. Rankin
  • Patent number: 8226840
    Abstract: Some embodiments include methods of removing silicon dioxide in which the silicon dioxide is exposed to a mixture that includes activated hydrogen and at least one primary, secondary, tertiary or quaternary ammonium halide. The mixture may also include one or more of thallium, BX3 and PQ3, where X and Q are halides. Some embodiments include methods of selectively etching undoped silicon dioxide relative to doped silicon dioxide, in which thallium is incorporated into the doped silicon dioxide prior to the etching. Some embodiments include compositions of matter containing silicon dioxide doped with thallium to a concentration of from about 1 weight % to about 10 weight %.
    Type: Grant
    Filed: May 2, 2008
    Date of Patent: July 24, 2012
    Assignee: Micron Technology, Inc.
    Inventor: Nishant Sinha
  • Patent number: 8211809
    Abstract: It is intended to produce a semiconductor device with a stable gate length, using an end-point detection process based on monitoring a plasma emission intensity during dry etching for setting a gate length.
    Type: Grant
    Filed: September 1, 2009
    Date of Patent: July 3, 2012
    Assignee: Unisantis Electronics Singapore Pte Ltd.
    Inventors: Fujio Masuoka, Shintaro Arai
  • Patent number: 8203207
    Abstract: Provided are electronic device packages and their methods of formation. The electronic device packages include an electronic device mounted on a substrate, a conductive via and a locally thinned region in the substrate. The invention finds application, for example, in the electronics industry for hermetic packages containing an electronic device such as an IC, optoelectronic or MEMS device.
    Type: Grant
    Filed: February 25, 2008
    Date of Patent: June 19, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: James W. Getz, David W. Sherrer, John J. Fisher
  • Patent number: 8193095
    Abstract: A method for forming a silicon trench, comprises the steps of: defining an etching area at a silicon substrate; forming metal catalysts at the surface of the etching area; immersing the silicon substrate in a first etching solution thereby forming anisotropic silicon nanostructures in the etching area; immersing the silicon substrate in a second etching solution thereby resulting in the silicon nanostructures being side-etched and detached from the silicon substrate, thus forming the silicon trench.
    Type: Grant
    Filed: February 11, 2011
    Date of Patent: June 5, 2012
    Assignee: National Taiwan University
    Inventors: Ching-Fuh Lin, Shih-Che Hung, Shu-Jia Syu
  • Publication number: 20120122266
    Abstract: A porous lift off layer facilitates removal of films from surfaces, such as semiconductors. A film is applied over a patterned porous layer, the layer comprising openings typically larger than the film thickness. The porous material and the film are then removed from areas where film is not intended. The porous layer can be provided as a slurry, dried to open porosities, or fugitive particles within a field, which disassociate upon the application of heat or solvent.
    Type: Application
    Filed: May 7, 2010
    Publication date: May 17, 2012
    Applicant: 1366 TECHNOLOGIES INC.
    Inventors: Emanuel M. Sachs, Andrew M. Gabor
  • Patent number: 8153532
    Abstract: The present invention improves the yield of integrated circuit manufacture by making the circuit more tolerant of varying thicknesses of the InterLayer Dielectric prior to metallization and interconnection. The sensitivity to the thickness of the ILD is reduced by first coating the devices with an etch stop layer, exposing the areas of the devices where interconnections will be made, selectively etching away the etch stop layer over the interconnection areas, adding the Interlayer Dielectric and then finally etching away the ILD to expose the contacts and continuing the processing through interconnection of the devices.
    Type: Grant
    Filed: December 4, 2008
    Date of Patent: April 10, 2012
    Assignee: HRL Laboratories, LLC
    Inventor: Charles H Fields
  • Patent number: 8143170
    Abstract: A single crystal semiconductor layer is provided over a base substrate with a second insulating film, a first conductive film, and a first insulating film interposed therebetween; an impurity element having one conductivity type is selectively added to the single crystal semiconductor layer, using a first resist mask; the first resist mask is removed; a second conductive film is formed over the single crystal semiconductor layer; a second resist mask having a depression is formed over the second conductive film; a first etching is performed on the first insulating film, the first conductive film, the second insulating film, the single crystal semiconductor layer, and the second conductive film, using the second resist mask; and a second etching with accompanying side-etching is performed on a part of the first conductive film to form a pattern of a gate electrode layer.
    Type: Grant
    Filed: February 12, 2010
    Date of Patent: March 27, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hidekazu Miyairi, Yuta Endo
  • Patent number: 8138090
    Abstract: A method for forming fine patterns in a semiconductor device includes forming a first hard mask layer over an etch target layer, forming first etch mask patterns having negative slopes over the first hard mask layer, thereby forming a resultant structure, forming a first material layer for a second etch mask over the resultant structure, performing a planarization process until the first etch mask patterns are exposed to form second etch mask patterns filled in spaces between the spacers, removing the spacers, and etching the first hard mask layer and the etch target layer using the first etch mask patterns and the second etch mask patterns.
    Type: Grant
    Filed: December 26, 2007
    Date of Patent: March 20, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sung-Yoon Cho, Chang-Goo Lee
  • Publication number: 20120052691
    Abstract: The invention discloses a treating method to produce various patterns on the surface by using gases with ability to etch the group III nitride semiconductor in certain conditions. The selective etching makes some specific patterns on group III nitride semiconductor surface, and different forms of the patterns can be controlled by the selective etching conditions.
    Type: Application
    Filed: March 16, 2011
    Publication date: March 1, 2012
    Applicant: National Chiao Tung University
    Inventors: Wei-I Lee, Ying-Chia Hsu, Yen-Hsien Yeh, Kuei-Ming Chen
  • Patent number: 8124541
    Abstract: An etchant gas and a method for removing at least a portion of a late transition metal structure. The etchant gas includes PF3 and at least one oxidizing agent, such as at least one of oxygen, ozone, nitrous oxide, nitric oxide and hydrogen peroxide. The etchant gas provides a method of uniformly removing the late transition metal structure or a portion thereof. Moreover, the etchant gas facilitates removing a late transition metal structure with an increased etch rate and at a decreased etch temperature. A method of removing a late transition metal without removing more reactive materials proximate the late transition metal and exposed to the etchant gas is also disclosed.
    Type: Grant
    Filed: April 4, 2007
    Date of Patent: February 28, 2012
    Assignee: Micron Technology, Inc.
    Inventor: Eugene P. Marsh
  • Patent number: 8124543
    Abstract: A method for manufacturing an LD is disclosed. The LD has a striped structure including an optical active region. The striped structure is buried with resin, typically benzo-cyclo-butene (BCB). The method to form an opening in the BCB layer has tri-steps etching of the RIE. First step etches the BCB layer partially by a mixed gas of CF4 and O2, where CF4 has a first partial pressure, second step etches the photo-resist patterned on the top of the BCB layer by a mixed gas of CF4 and O2, where CF4 in this step has the second partial pressure less than the first partial pressure, and third step etches the BCB left in the first step by mixed gas of CF4 and O2, where CF4 in this step has the third partial pressure greater than the second partial pressure.
    Type: Grant
    Filed: May 24, 2010
    Date of Patent: February 28, 2012
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Hideki Yagi, Kenji Koyama, Hiroyuki Yoshinaga, Kuniaki Ishihara
  • Patent number: 8110507
    Abstract: Disclosed here in is a method for patterning an active region in a semiconductor device using a space patterning process that includes forming a partition pattern having partition pattern elements arranged in a square shape on a semiconductor substrate; forming a spacer on side walls of the partition pattern; removing the partition pattern; separating the spacer into first and second spacer portions to expose a portion of the semiconductor substrate; and etching the exposed portion of the semiconductor substrate to form a trench, wherein portions of the semiconductor substrate overlapped with the first and second spacer portions define an active region.
    Type: Grant
    Filed: December 10, 2008
    Date of Patent: February 7, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Chan Ha Park
  • Patent number: 8105949
    Abstract: A substrate processing method that forms an opening, which has a size that fills the need for downsizing a semiconductor device and is to be transferred to an amorphous carbon film, in a photoresist film of a substrate to be processed. Deposit is accumulated on a side wall surface of the opening in the photoresist film using plasma produced from a deposition gas having a gas attachment coefficient S of 0.1 to 1.0 so as to reduce the opening width of the opening.
    Type: Grant
    Filed: June 29, 2009
    Date of Patent: January 31, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Masanobu Honda, Hironobu Ichikawa
  • Patent number: 8104154
    Abstract: To efficiently fabricate a high quality piezoelectric vibrating piece regardless of an accuracy of an outer shape of a wafer, there is provided a method of fabricating a plurality of piezoelectric vibrating pieces at a time utilizing a wafer S, the method including a step of forming two or more of through holes 40 and forming outer shapes of a plurality of piezoelectric plates 10 simultaneously with the through holes by constituting reference points G by centers of the through holes by etching the wafer by a photolithography technology, a step of preparing a jig for a wafer having inserting pins formed to project by a number the same as a number of the through holes from above a flat plate portion, thereafter, mounting the wafer on the flat plate portion in a state of inserting the inserting pin into the through hole, a step of forming an electrode on outer surfaces of the plurality of piezoelectric plates, and a step of cutting to separate the plurality of piezoelectric plates from the wafer to fragment, and
    Type: Grant
    Filed: January 21, 2009
    Date of Patent: January 31, 2012
    Assignee: Seiko Instruments Inc.
    Inventors: Mitsuo Tomiyama, Takashi Kobayashi, Kazuyoshi Sugama
  • Patent number: 8097539
    Abstract: A pattern is formed on a mask substrate. Positional deviation information between an actual position of the pattern formed on the mask substrate and a design position decided at the time of designing the pattern is calculated. A heterogeneous layer of which a volume expands more greatly than that of surrounding mask substrate region is formed in a predetermined position within the mask substrate so that volume expansion of the heterogeneous layer according to the positional deviation information is achieved.
    Type: Grant
    Filed: January 8, 2009
    Date of Patent: January 17, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Masamitsu Itoh
  • Publication number: 20120003835
    Abstract: An exemplary method of etching sacrificial layer includes steps of: providing a substrate formed with a sacrificial layer and defined with a first region and a second region, the sacrificial layer disposed in both the first and second regions; forming a hard mask covering the first region while exposing the second region; performing a first etching process on the sacrificial layer to thin the sacrificial layer while forming a byproduct film overlying the thinned sacrificial layer; performing a second etching process on the byproduct film to remove a portion of the byproduct layer for exposing a portion of the thinned sacrificial layer, while another portion of the byproduct film disposed on sidewalls of the thinned sacrificial layer being remained; and performing a third etching process on the thinned sacrificial layer, to remove the portion of the thinned sacrificial layer exposed in the second etching process.
    Type: Application
    Filed: July 5, 2010
    Publication date: January 5, 2012
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Chan-Lon YANG, Yeng-Peng Wang, Chiu-Hsien Yeh
  • Patent number: 8088664
    Abstract: A method of forming an integrated deep and shallow trench isolation structure comprises depositing a hard mask on a film stack having a plurality of layers formed on a substrate such that the hard mask is deposited on a furthermost layer from the substrate, imprinting a first pattern into the hard mask to define an open end of a first trench, imprinting a second pattern into the hard mask to define an open end of a second trench, and etching into the film stack the first trench to a first depth and the second trench to a second depth such that the first trench and the second trench each define a blind aperture in the surface of the film stack.
    Type: Grant
    Filed: October 16, 2007
    Date of Patent: January 3, 2012
    Assignee: Texas Instruments Deutschland GmbH
    Inventors: Joerg Haussmann, Christoph Dirnecker, Rupert Wagner
  • Patent number: 8071482
    Abstract: A manufacturing method for a silicon carbide semiconductor device is disclosed. It includes an etching method in which an Al film and Ni film are laid on an SiC wafer in this order and wet-etched, whereby a two-layer etching mask is formed in which Ni film portions overhang Al film portions. Mesa grooves are formed by dry etching by using this etching mask.
    Type: Grant
    Filed: May 20, 2008
    Date of Patent: December 6, 2011
    Assignee: Fuji Electric Co., Ltd.
    Inventor: Yasuyuki Kawada
  • Patent number: 8071460
    Abstract: In a method of manufacturing a semiconductor device, a first film is formed directly on a semiconductor substrate and a second film is formed on the first film. A region of the second film is then etched to form an opening that exposes the first film. The first film is then arbitrarily patterned by etching to expose a surface of the semiconductor substrate. Thereafter, the second film and the exposed surface of the semiconductor substrate are simultaneously etched using the patterned first film as a mask and in an etching ambient having a low etching rate for the first film and having a high etching rate for the second film and the semiconductor substrate until the second film is almost completely etched and a detection amount of a monitored element of the first film increases.
    Type: Grant
    Filed: December 4, 2008
    Date of Patent: December 6, 2011
    Assignee: Seiko Instruments Inc.
    Inventors: Tomomitsu Risaki, Jun Osanai
  • Publication number: 20110294258
    Abstract: Embodiments of the present invention generally relates to an apparatus and a method for processing semiconductor substrates. Particularly, embodiments of the present invention relates to methods and apparatus for profile modification prior to filling a structure, such as a trench or a via. One embodiment of the present invention comprises forming a sacrifice layer to pinch off a top opening of a structure by exposing the structure to an etchant. In one embodiment, the etchant is configured to remove the first material by reacting with the first material and generating a by-product, which forms the sacrifice layer.
    Type: Application
    Filed: August 8, 2011
    Publication date: December 1, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: MEI CHANG, Chien-Teh Kao, Xinliang Lu, Zhenbin Ge
  • Patent number: 8058176
    Abstract: Methods of forming integrated circuit devices include forming an integrated circuit substrate having an electrically insulating layer thereon and forming a mask layer pattern having at least first and second openings of different size therein, on the electrically insulating layer. First and second portions of the electrically insulating layer extending opposite the first and second openings, respectively, are simultaneously etched at first and second different etch rates. This etching yields a first trench extending adjacent the first opening that is deeper than a second trench extending adjacent the second opening. Then, the bottoms of the first and second trenches are simultaneously etched to substantially the same depths using an etching process that compensates for the first and second different etch rates.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: November 15, 2011
    Assignees: Samsung Electronics Co., Ltd., International Business Machines Corproation, Advanced Micro Devices Corporation, Chartered Semiconductor Manufacturing Ltd., Infineon Technologies AG
    Inventors: Wan-jae Park, Kaushik Arun Kumar, Joseph Edward Linville, Anthony David Lisi, Ravi Prakash Srivastava, Hermann Willhelm Wendt
  • Patent number: 8035103
    Abstract: The present invention provides a circuit board which can improve characteristics of a circuit element, an electronic device, and a method for producing a circuit board. The method for producing a circuit board of the present invention is a method for producing a circuit board including one or more polysilicon layers at the same layer level, wherein the method includes the steps of: forming a photoresist film on the polysilicon layer; forming a photoresist pattern film having side surfaces with different inclination angles by patterning the photoresist film; forming the one or more polysilicon layers having side surfaces with different inclination angles by etching the polysilicon film using the photoresist pattern film.
    Type: Grant
    Filed: June 1, 2006
    Date of Patent: October 11, 2011
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Tomohiro Kimura
  • Patent number: 8021986
    Abstract: A method for producing a transistor with metallic source and drain including the steps of: a) producing a gate stack, b) producing two portions of a material capable of being selectively etched relative to a second dielectric material and arranged at the locations of the source and of the drain of the transistor, c) producing a second dielectric material-based layer covering the stack and the two portions of material, d) producing two holes in the second dielectric material-based layer forming accesses to the two portions of material, e) etching of said two portions of material, f) depositing a metallic material in the two formed cavities, and also including, between steps a) and b), a step of deposition of a barrier layer on the stack, against the lateral sides of the stack and against the face of the first dielectric material-based layer.
    Type: Grant
    Filed: June 8, 2010
    Date of Patent: September 20, 2011
    Assignee: Commissariat à l'énergie atomique et aux energies alternatives
    Inventors: Bernard Previtali, Thierry Poiroux, Maud Vinet
  • Patent number: 8012881
    Abstract: A method for forming contact holes in a semiconductor device includes forming a hard mask layer over an etch target layer, forming a first line pattern in the hard mask layer by etching a portion of the hard mask layer through a primary etch process, forming a second line pattern crossing the first line pattern by etching the hard mask layer including the first line pattern through a secondary etch process, and etching the etch target layer by using the hard mask layer including the first line pattern and the second line pattern as an etch barrier.
    Type: Grant
    Filed: August 11, 2010
    Date of Patent: September 6, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sang-Oh Lee, Sung-Kwon Lee, Jun-Hyeub Sun, Jong-Sik Bang
  • Patent number: 8008209
    Abstract: A technique is described whereby temperature gradients are created within a semiconductor wafer. Temperature sensitive etching and/or deposition processes are then employed. These temperature sensitive processes proceed at different rates in regions with different temperatures. To reduce pinch off in etching processes, a temperature sensitive etch process is selected and a temperature gradient is created between the surface and subsurface of a wafer such that the etching process proceeds more slowly at the surface than deeper in the wafer. This reduces “crusting” of solid reaction products at trench openings, thereby eliminating pinch off in many cases. Similar temperature-sensitive deposition processes can be employed to produce void-free high aspect ratio conductors and trench fills.
    Type: Grant
    Filed: October 24, 2007
    Date of Patent: August 30, 2011
    Assignee: International Business Machines Corporation
    Inventors: Michael R. Sievers, Kaushik A. Kumar, Andres F. Munoz, Richard Wise
  • Patent number: 7981806
    Abstract: A method for forming a trench includes providing a substrate, and forming the trench in the substrate using a gas containing chlorine (Cl2) gas as a main etch gas and SiFX gas as an additive gas, wherein a sidewall of the trench has a substantially vertical profile by virtue of reaction of the Cl2 gas and the SiFX gas.
    Type: Grant
    Filed: November 30, 2007
    Date of Patent: July 19, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Tae-Woo Jung
  • Patent number: 7977136
    Abstract: Disclosed are one-port and two-port microelectromechanical structures including variable capacitors, switches, and filter devices. High aspect-ratio micromachining is used to implement low-voltage, large value tunable and fixed capacitors, and the like. Tunable capacitors can move in the plane of the substrate by the application of DC voltages and achieve greater than 240 percent of tuning. Exemplary microelectromechanical apparatus comprises a single crystalline silicon substrate, and a conductive structure laterally separated from the single crystalline silicon substrate by first and second high aspect ratio gaps of different size, wherein at least one of the high aspect ratio gaps has an aspect ratio of at least 30:1, and is vertically anchored to the single crystalline silicon substrate by way of silicon nitride.
    Type: Grant
    Filed: January 10, 2009
    Date of Patent: July 12, 2011
    Assignee: Georgia Tech Research Corporation
    Inventors: Farrokh Ayazi, Mina Raieszadeh, Pezhman Monadgemi
  • Patent number: 7977244
    Abstract: Disclosed is a semiconductor manufacturing process, in which a fluorine radical-containing plasma is used to etch a hard mask and a layer therebeneath; and a treatment is carried out using a gas reactive to fluorine radicals for reacting with residual fluorine radicals to form a fluorine-containing compound and remove it. Thus, precipitates formed by the reaction of fluorine radicals and titanium components existing in the hard mask to cause a process defect can be avoided.
    Type: Grant
    Filed: December 18, 2006
    Date of Patent: July 12, 2011
    Assignee: United Microelectronics Corp.
    Inventors: Yu-Tsung Lai, Chun-Jen Huang, Jyh-Cherng Yau, Jiunn-Hsiung Liao
  • Publication number: 20110130008
    Abstract: A method to control a critical dimension is disclosed. First, a material layer and a composite patterned layer covering the material layer are provided. The composite patterned layer has a pattern defining a first critical dimension. Later, an etching gas is used to perform an etching step to etch the composite patterned layer and a pattern-transferring step is carried out so that thereby the underlying material layer has a transferred pattern with a second critical dimension which is substantially smaller than the first critical dimension.
    Type: Application
    Filed: December 1, 2009
    Publication date: June 2, 2011
    Inventors: Ming-Da Hsieh, Yu-Tsung Lai, Jyh-Cherng Yau, Jiunn-Hsiung Liao
  • Patent number: 7951719
    Abstract: A method for removing defects from a semiconductor surface is disclosed. The surface of the semiconductor is first coated with a protective layer, which is later thinned to selectively reveal portions of the protruding defects. The defects are then removed by etching. Finally, also the protective layer is removed. According to the method, inadvertent thinning of the surface is prevented and removal of the defects is obtained.
    Type: Grant
    Filed: March 26, 2009
    Date of Patent: May 31, 2011
    Assignee: HRL Laboratories, LLC
    Inventor: Peter D. Brewer
  • Publication number: 20110124198
    Abstract: A method of forming fine patterns of a semiconductor device comprises forming sacrificial film patterns of a line type in a cell region of a semiconductor substrate and, at the same time, forming pad patterns in a peripheral region of the semiconductor substrate, forming a spacer on sidewalls of each of the sacrificial film patterns and the pad patterns, forming a gap-fill layer on sidewalls of the spacers to thereby form line and space patterns, including the sacrificial film patterns and the gap-fill layers, in the cell region, and separating the line and space patterns of the cell region at regular intervals and, at the same time, etching the pad patterns of the peripheral region to thereby form specific patterns in the peripheral region.
    Type: Application
    Filed: December 30, 2009
    Publication date: May 26, 2011
    Applicant: Hynix Semiconductor Inc.
    Inventors: Ki Lyoung LEE, Sa Ro Han Park
  • Publication number: 20110117749
    Abstract: A method for reducing line width roughness (LWR) of a feature in an etch layer below a patterned photoresist mask having mask features is provided. The method includes (a) non-etching plasma pre-etch treatment of the photoresist mask, and (b) etching of a feature in the etch layer through the pre-treated photoresist mask using an etching gas. The non-etching plasma pre-etch treatment includes (a1) providing a treatment gas containing H2 and COS, (a2) forming a plasma from the treatment gas, and (a3) stopping the treatment gas.
    Type: Application
    Filed: November 17, 2009
    Publication date: May 19, 2011
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Ben-Li SHEU, Martin SHIM, Jonathan KIM
  • Patent number: 7943521
    Abstract: A method for patterning a semiconductor device can include forming a conductive layer over a semiconductor substrate; alternatively forming positive photoresists and negative photoresists over the conductive layer, forming a plurality of first conductive lines by selectively removing a portion of the conductive layer using the positive photoresist and the negative photoresist as masks; forming an oxide film over the semiconductor substrate including the first conductive lines and the conductive layer; performing a planarization process over the oxide film using the uppermost surface of the first conductive line as a target; removing the plurality of first conductive lines using the oxide film as a mask; forming a plurality if trenches in the semiconductor substrate and removing a portion of the oxide film to expose the uppermost surface of the conductive layer; and then forming a plurality of second conductive lines by removing the exposed conductive layer using the oxide film as a mask.
    Type: Grant
    Filed: September 15, 2009
    Date of Patent: May 17, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Eun-Soo Jeong
  • Patent number: 7943491
    Abstract: The present invention provides methods, systems and system components for transferring, assembling and integrating features and arrays of features having selected nanosized and/or microsized physical dimensions, shapes and spatial orientations. Methods of the present invention utilize principles of ‘soft adhesion’ to guide the transfer, assembly and/or integration of features, such as printable semiconductor elements or other components of electronic devices. Methods of the present invention are useful for transferring features from a donor substrate to the transfer surface of an elastomeric transfer device and, optionally, from the transfer surface of an elastomeric transfer device to the receiving surface of a receiving substrate. The present methods and systems provide highly efficient, registered transfer of features and arrays of features, such as printable semiconductor element, in a concerted manner that maintains the relative spatial orientations of transferred features.
    Type: Grant
    Filed: June 9, 2006
    Date of Patent: May 17, 2011
    Assignee: The Board of Trustees of the University of Illinois
    Inventors: Ralph G. Nuzzo, John A. Rogers, Etienne Menard, Keon Jae Lee, Dahl-Young Khang, Yugang Sun, Matthew Meitl, Zhengtao Zhu
  • Patent number: 7931819
    Abstract: There is provided a method for pattern formation, including a step of coating a composition comprising a block copolymer, a silicon compound, and a solvent for dissolving these components onto an object to form a layer of the composition on the object, a step of subjecting the layer of the composition to self-organization of the block copolymer to cause phase separation into a first phase, in which the silicon compound is localized, having higher etching resistance by heat treatment or/and oxygen plasma treatment, and a second phase comprising a polymer phase and having lower etching resistance by heat treatment or/and oxygen plasma treatment, and thereby forming a pattern layer with a fine pattern, and a step of etching the object using as a mask the thus formed pattern layer.
    Type: Grant
    Filed: March 23, 2007
    Date of Patent: April 26, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Naoko Kihara, Hiroyuki Hieda
  • Patent number: 7919808
    Abstract: Embodiments relate to a flash memory device and a method of manufacturing a flash memory device, which may increase a coupling coefficient between a control gate and a floating gate by increasing a surface area of floating gate. In embodiments, a flash memory device may be formed by forming a photoresist pattern for forming a floating gate on a semiconductor substrate including an oxide film, a floating gate poly film, and a BARC (Bottom AntiReflect Coating), performing a first etching process using the photoresist pattern as a mask, to etch the floating gate poly film to a predetermined depth, depositing and forming a polymer to cover the photoresist pattern, forming spacers of the polymer at both sidewalls of the photoresist pattern, forming a second etching process using the spacers as a mask, to expose the oxide film, and removing the BARC, the photoresist pattern and the spacers by ashing and stripping.
    Type: Grant
    Filed: February 24, 2009
    Date of Patent: April 5, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Jeong-Yel Jang
  • Patent number: 7915176
    Abstract: A method for manufacturing a device including a field of micrometric tips, including forming a polycrystalline layer on a support; performing an anisotropic plasma etching of all or part of the polycrystalline layer by using a gas mixture including chlorine and helium, whereby tips are formed at the surface of the polycrystalline layer.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: March 29, 2011
    Assignee: STMicroelectronics Crolles 2 SAS
    Inventors: Olivier De Sagazan, Matthieu Denoual
  • Publication number: 20110065280
    Abstract: The method includes a film-forming process which forms a carbon film, to isotropically coat a surface of a silicon film pattern in which a first line portion formed of a silicon film that is formed on a target etching film on a substrate is arranged, an etchback process which etches back the carbon film such that the carbon film is removed from an upper portion of the first line portion and remains as a side wall portion of the first line portion, and a silicon film removing process which forms a mask pattern in which the side wall portion is arranged, by removing the first line portion.
    Type: Application
    Filed: August 9, 2010
    Publication date: March 17, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shigeru Nakajima, Kazuhide Hasebe, Hidetami Yaegashi, Eiichi Nishimura
  • Patent number: 7902021
    Abstract: A method for making a semiconductor device is disclosed. In accordance with the method, a semiconductor structure is provided which includes (a) a substrate (203), (b) first and second gate electrodes (219) disposed over the substrate, each of the first and second gate electrodes having first and second sidewalls, and (c) first (223) and second (225) sets of spacer structures disposed adjacent to the first and second gate electrodes, respectively. A first layer of photoresist (231) is then disposed over the structure such that the first set of spacer structures is exposed and the second set of spacer structures is covered, after which the first set of spacer structures is partially etched.
    Type: Grant
    Filed: February 28, 2006
    Date of Patent: March 8, 2011
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Anadi Srivastava
  • Publication number: 20110034012
    Abstract: In one embodiment, a patterning method is disclosed. The method includes applying an uncured imprint material containing a first curing agent and a second curing agent onto a substrate. The method includes pressing a template against the imprint material. The method includes reacting the first curing agent with the template pressed against the imprint material. The method includes stripping the template from the imprint material. In addition, the method includes reacting the second curing agent.
    Type: Application
    Filed: July 15, 2010
    Publication date: February 10, 2011
    Inventor: Yoshihito KOBAYASHI
  • Patent number: 7884026
    Abstract: A semiconductor wafer includes a substrate, a conductive layer, a dielectric layer having a via, a hard mask defined a trench pattern, and a sacrificial layer. Then a sequential of etching processes is performed upon the semiconductor wafer in a chamber to form a trench and expose the conductive layer. By operating all procedures within one chamber, manufacturing time is efficiently shortened and yield is thus increased.
    Type: Grant
    Filed: July 20, 2006
    Date of Patent: February 8, 2011
    Assignee: United Microelectronics Corp.
    Inventor: An-Chi Liu
  • Patent number: 7851248
    Abstract: A capping technology is provided in which, despite the fact that structures which are surrounded by a silicon-germanium filling layer are exposed using ClF3 etching through micropores in the silicon cap, an etching attack on the silicon cap is prevented, namely, either by particularly selective (approximately 10,000:1 or higher) adjustment of the etching process itself, or by using the finding that the oxide of a germanium-rich layer, in contrast to oxidized porous silicon, is not stable but instead may be easily dissolved, to protect the silicon cap.
    Type: Grant
    Filed: August 21, 2007
    Date of Patent: December 14, 2010
    Assignee: Robert Bosch GmbH
    Inventors: Silvia Kronmueller, Tino Fuchs, Ando Feyh, Christina Leinenbach, Marco Lammer
  • Publication number: 20100297851
    Abstract: Compositions for use in multiple exposure photolithography and methods of forming electronic devices using a multiple exposure lithographic process are provided. The compositions find particular applicability in semiconductor device manufacture for making high-density lithographic patterns.
    Type: Application
    Filed: May 17, 2010
    Publication date: November 25, 2010
    Applicant: Rohm and Haas Electronic Materials LLC
    Inventors: Young Cheol BAE, Yi Liu, Thomas Cardolaccia, Peter Trefonas, III
  • Patent number: 7820504
    Abstract: Embodiments of this invention relate to a method for manufacturing isolation structures with different depths in a monolithically integrated semiconductor electronic device. An inventive method according to an embodiment of the invention comprises a first step of defining active areas on a semiconductor material substrate, a second step of forming isolation structures by realising trenches in said substrate and then filling them with field oxide, a third step of defining lithographically at least a first device area, and a fourth step of realising a digging in the substrate and in the field oxide of said first device area.
    Type: Grant
    Filed: July 12, 2004
    Date of Patent: October 26, 2010
    Inventors: Daniela Brazzelli, Livio Baldi, Giorgio Servalli
  • Patent number: 7816155
    Abstract: A method for mounting a semiconductor device onto a composite substrate, including a submount and a heat sink, is described. According to one aspect of the invention, the materials for the submount and the heat sink are chosen so that the value of coefficient of thermal expansion of the semiconductor device is in between the values of coefficients of thermal expansion of the materials of the submount and the heat sink, the thickness of the submount being chosen so as to equalize thermal expansion of the semiconductor device to that of the surface of the submount the device is mounted on. According to another aspect of the invention, the semiconductor device, the submount, and the heat sink are soldered into a stack at a single step of heating, which facilitates reduction of residual post-soldering stresses.
    Type: Grant
    Filed: July 2, 2008
    Date of Patent: October 19, 2010
    Assignee: JDS Uniphase Corporation
    Inventors: Andre Wong, Sukbhir Bajwa
  • Publication number: 20100248493
    Abstract: A photomask blank is provided comprising a transparent substrate, a single or multi-layer film including an outermost layer composed of chromium base material, and an etching mask film. The etching mask film is a silicon oxide base material film formed of a composition comprising a hydrolytic condensate of a hydrolyzable silane, a crosslink promoter, and an organic solvent and having a thickness of 1-10 nm. The etching mask film has high resistance to chlorine dry etching, ensuring high-accuracy processing of the photomask blank.
    Type: Application
    Filed: March 26, 2010
    Publication date: September 30, 2010
    Inventors: Satoshi WATANABE, Ryuji Koitabashi, Shinichi Igarashi, Yoshio Kawai, Shozo Shirai
  • Patent number: 7803698
    Abstract: A method for controlling catalyst nanoparticle positioning includes establishing a mask layer on a post such that a portion of a vertical surface of the post remains exposed. The method further includes establishing a catalyst nanoparticle material on the mask layer and directly adjacent at least a portion of the exposed portion of the vertical surface.
    Type: Grant
    Filed: April 9, 2007
    Date of Patent: September 28, 2010
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventor: Theodore I. Kamins
  • Patent number: 7803681
    Abstract: When a recess of a bulb-type recess gate is formed, the recess formed in a device isolation region is formed to be separated from an edge of an active region. This structure thereby prevents damage of a semiconductor substrate of the edge of the active region and a defect during a Self Alignment Contact (SAC) process. As a result, characteristics and yield of devices improve.
    Type: Grant
    Filed: December 29, 2006
    Date of Patent: September 28, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Dae Young Kim