Differential Etching Of Semiconductor Substrate Patents (Class 438/735)
  • Publication number: 20020167117
    Abstract: The addition of thin coatings (less than and approaching monomolecular coatings) of persistent release materials comprising preferred compounds of the formula:
    Type: Application
    Filed: October 29, 2001
    Publication date: November 14, 2002
    Applicant: Regents of the University of Minnesota
    Inventor: Stephen Y. Chou
  • Publication number: 20020164885
    Abstract: Transistor gate linewidths can be made to be effectively smaller by etching a notch at the bottom of the gate to reduce the effective linewidth. This can be done by etching at a layer interface, such as a silicon-germanium interface. in an over-etch step.
    Type: Application
    Filed: May 7, 2001
    Publication date: November 7, 2002
    Inventors: Thorsten B. Lill, Jitske Kretz
  • Patent number: 6475841
    Abstract: A transistor structure includes a retrograde gate structure (112) that is narrower at the end that interfaces with the gate dielectric (120) than it is at the opposite end and method for manufacture of such a structure. The retrograde gate structure (112) is formed by depositing a layer of gate material (104) that has varying composition in the vertical direction. The differentiation in composition causes varying lateral etch rate characteristics along the vertical direction of the gate structure (112) such that increased etching of the gate material (104) occurs near the interface with the gate dielectric layer (102).
    Type: Grant
    Filed: June 2, 2000
    Date of Patent: November 5, 2002
    Assignee: Motorola, Inc.
    Inventors: William J. Taylor, Jr., Srikanth B. Samavedam, Nigel Cave
  • Patent number: 6475884
    Abstract: In a first aspect of the invention, a modified semiconductor substrate is provided. The modified substrate comprises: (1) a semiconductor substrate; (2) at least one buffer layer provided over at least a portion of the substrate; and (3) a plurality of trenches comprising (a) a plurality of internal trenches that extend into the semiconductor substrate and (b) at least one shallow peripheral trench that extends into the at least one buffer layer but does not extend into the semiconductor substrate. In another aspect, a method of selectively providing trenches in a semiconductor substrate is provided. According to a further aspect of the invention, a trench DMOS transistor structure that includes at least one peripheral trench and a plurality of internal trenches is provided.
    Type: Grant
    Filed: August 8, 2001
    Date of Patent: November 5, 2002
    Assignee: General Semiconductor, Inc.
    Inventors: Fwu-Iuan Hshieh, Koon Chong So, Yan Man Tsui
  • Patent number: 6468919
    Abstract: The present invention provides a method to make a local interconnect in an embedded memory. The method first involves defining both a memory array area and a periphery circuit area on the surface of a semiconductor wafer. Then, a plurality of gates and lightly doped drains (LDD) are separately formed in the memory array area and in the periphery circuit area. A silicon nitride layer and a dielectric layer are then formed, respectively, on the surface of the semiconductor wafer and on each gate. Next, a plurality of landing via holes and local interconnect holes are separately formed in the dielectric layer in the memory array area and in the periphery circuit area, followed by the filling of an electrical conducting layer in each hole to simultaneously form a landing via and local interconnect. Then, the dielectric layer and a portion of the silicon nitride layer in the periphery circuit area are removed to form a spacer on either side of each gate in the periphery circuit area.
    Type: Grant
    Filed: January 19, 2001
    Date of Patent: October 22, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Sun-Chieh Chien, Chien-Li Kuo
  • Patent number: 6468918
    Abstract: An apparatus and method for the hot bake to remove moisture from photoresist that has been deposited on semiconductor wafers prior to a dry plasma etch process. A wafer carrier containing semiconductor wafers on which a photoresist has been deposited is placed in a load lock chamber having a source of heat such as a heating plate or a high intensity light source. The source of the heat is activated and the semiconductor wafers are brought to a temperature sufficiently high and of a sufficient duration as to eliminate any moisture present in the photoresist mask. The load lock chamber is evacuated to eliminate any moisture or contaminants, filled with nitrogen to eliminate any residual of moisture or contaminants, and then evacuated to prepare the chamber to exposed to the atmosphere present in a dry plasma etch chamber. An exit lock of the load lock chamber is opened and the wafer carrier is placed in the dry plasma etch chamber for the execution of the dry plasma etch process.
    Type: Grant
    Filed: September 29, 1995
    Date of Patent: October 22, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventor: So Wein Kuo
  • Publication number: 20020132451
    Abstract: A method of manufacturing a semiconductor substrate can effectively prevent a chipping phenomenon and the production of debris from occurring in part of the insulation layer and the semiconductor by removing a outer peripheral portion of the semiconductor substrate so as to make the outer peripheral extremity of the insulation layer to be located between the outer peripheral extremity of the semiconductor layer and that of the support member and hence the semiconductor layer and the insulation layer produce a stepped profile.
    Type: Application
    Filed: May 13, 2002
    Publication date: September 19, 2002
    Inventors: Yutaka Akino, Tadashi Atoji
  • Patent number: 6448184
    Abstract: Rough, conductive diamond film regions are formed on a substrate for establishing electrical contact with a surface mount semiconductor package, or the like. The substrate base is heated in a diamond film gas phase deposition reactor. Molecular hydrogen, a carbon-bearing gas and a dopant source are introduced into the reactor at a temperature conducive to producing a conductive polycrystalline diamond film with sharp facets extending from the film. The diamond film is patterned by etching to remove regions where no electrical contact with the surface mount package is desired.
    Type: Grant
    Filed: November 6, 1998
    Date of Patent: September 10, 2002
    Assignees: Pacific Western Systems, SP
    Inventors: Jerry W. Zimmer, Daniel A. Worsham
  • Patent number: 6448094
    Abstract: A method of detecting an etching depth of a target object includes the steps of irradiating an etching layer of the target object that is being etched in an etching section with light having a plurality of components differing from each other in a wavelength, detecting a plurality of interference light components differing from each other in the wavelength and having an intensity periodically changed by the light components reflected from an upper surface of the etching layer and a surface of the etching section, applying a frequency analysis to these interference light components so as to obtain the frequency of each of these interference wave forms in which the intensity forms the amplitude, calculating an etching rate corresponding to each interference wave form by using the frequency of the interference wave form, and obtaining an etching depth from the etching rate.
    Type: Grant
    Filed: January 26, 2001
    Date of Patent: September 10, 2002
    Assignee: Tokyo Electron Limited
    Inventors: Yohei Yamazawa, Yoshihito Ookawa
  • Patent number: 6444588
    Abstract: A method of forming an anti-reflective coating material layer in the fabrication of integrated circuits includes providing a substrate assembly having a surface and providing an inorganic anti-reflective coating material layer on the substrate assembly surface. The inorganic anti-reflective coating material layer has an associated first etch rate when exposed to an etchant. The method further includes thermally treating the inorganic anti-reflective coating material layer formed thereon such that the thermally treated anti-reflective coating material layer then has an associated second etch rate less than the first etch rate when exposed to the etchant, e.g., the second etch rate is less than 16 Å/minute, the second etch rate is less than 20% of the first etch rate, etc.
    Type: Grant
    Filed: April 26, 1999
    Date of Patent: September 3, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Richard Holscher, Zhiping Yin
  • Patent number: 6444566
    Abstract: Borderless contacts are used in integrated circuits in order to conserve chip real estate. As part of the process for manufacturing borderless contacts, an etch-stopping layer of silicon nitride is first laid over the area that is to be contacted. Investigation has now shown that this can lead to damage to the silicon at the edges of the via. The present invention eliminates this damage by introducing a buffer layer between the silicon surface and said sidon nitride layer. Suitable materials for the buffer layer that have been found to be infective include silicon oxide and silicon oxynitride with the latter offering some ditional advantages over the former. Experimental data confirming the effectiveness of the buffer layer are provided, together with a process for its manufacture.
    Type: Grant
    Filed: April 30, 2001
    Date of Patent: September 3, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Ming Huan Tsai, Jyh Huei Chen, Chu Yun Fu, Hun Jan Tao
  • Patent number: 6440837
    Abstract: Annular and linear contact structures are described which exhibit a greatly reduced susceptibility to process deviations caused by lithographic and deposition variations than does a conventional circular contact plug. In one embodiment, a standard conductive material such as carbon or titanium nitride is used to form the contact. In an alternative embodiment, a memory material itself is used to form the contact. These contact structures may be made by various processes, including chemical mechanical planarization and facet etching.
    Type: Grant
    Filed: July 14, 2000
    Date of Patent: August 27, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Steven T. Harshfield
  • Patent number: 6436229
    Abstract: An apparatus and method for gas-phase bromine trifluoride (BrF3) silicon isotropic room temperature etching system for both bulk and surface micromachining. The gas-phase BrF3 can be applied in a pulse mode and in a continuous flow mode. The etching rate in pulse mode is dependent on gas concentration, reaction pressure, pulse duration, pattern opening area and effective surface area.
    Type: Grant
    Filed: December 19, 2000
    Date of Patent: August 20, 2002
    Assignee: California Institute of Technology
    Inventors: Yu-Chong Tai, Xuan-Oi Wang
  • Patent number: 6428713
    Abstract: A micro-electro-mechanical structure including a semiconductor layer mounted to an annular support structure via an isolation layer wherein the semiconductor layer is micromachined to form a suspended body having a plurality of suspension projections extending from the body to the rim and groups of integral projections extending toward but spaced from the rim between said suspension projections. Each projection in said groups has a base attached to the body and a tip proximate the rim. The structure includes a plurality of inward projections extending from and supported on the rim and toward the body. Each such projection has a base attached to the rim and a tip proximate the body; wherein the grouped projections and the inward projections are arranged in an interdigitated fashion to define a plurality of proximate projection pairs independent of the suspension elements such that a primary capacitive gap is defined between the projections of each projection pair.
    Type: Grant
    Filed: October 1, 1999
    Date of Patent: August 6, 2002
    Assignee: Delphi Technologies, Inc.
    Inventors: John Carl Christenson, Steven Edward Staller, John Emmett Freeman, Troy Allan Chase, Robert Lawrence Healton, David Boyd Rich
  • Publication number: 20020102856
    Abstract: Methods of forming an interface in a dielectric material to act as an indicator for terminating an etching process, and products produced thereby.
    Type: Application
    Filed: January 31, 2001
    Publication date: August 1, 2002
    Applicant: Applied Materials, Inc.
    Inventors: Li-Qun Xia, Huong Thanh Nguyen, Ellie Yieh, Dan Maydan
  • Patent number: 6426238
    Abstract: A charge transfer device is provided, capable of preventing degradation of the charge transfer efficiency when the channel width becomes narrower due to the narrow channel effect. The charge transfer device of the present invention is obtained by forming a charge transfer electric field in a channel below a boundary portion between a terminal storage electrode and a terminal barrier electrode, which constitute a pair of charge transfer electrodes located closest to the output electrode, to be higher than a charge transfer electric field in a channel below a boundary portions of pairs of storage electrodes and barrier electrodes, which constitute pairs of storage electrodes and barrier electrodes other than the pair of the terminal electrodes.
    Type: Grant
    Filed: April 20, 2001
    Date of Patent: July 30, 2002
    Assignee: NEC Corporation
    Inventor: Michihiro Morimoto
  • Patent number: 6403495
    Abstract: A method for fabricating a capacitor of a semiconductor device is provided. In the capacitor fabricating method, the step of forming a lower electrode by using gas including chlorine is included after the step of forming hemispherical grained silicon (HSG—Si) seeds. Also, after the step of selectively growing only HSG—Si seeds formed on the lower electrode, the step of removing the HSG—Si seeds formed on an insulation layer pattern through an etching process using a gas including chlorine is included. Thus, the surface area of the lower electrode is increased, so that capacitance is increased. Also, an electrical short between the lower electrodes of each adjacent capacitor can be prevented without decreasing capacitance.
    Type: Grant
    Filed: December 21, 2000
    Date of Patent: June 11, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-sun Kim, Young-wook Park
  • Patent number: 6403494
    Abstract: A method is disclosed for forming a split-gate flash memory cell where the floating gate of the cell is self-aligned to a shallow trench isolation (STI), which in turn makes it self-aligned to source and to word line. This will advantageously affect a shrinkage in the size of the memory cell. In a first embodiment, the close self-alignment is made possible through a new use of an anti-reflective coating (ARC) in the various process steps of the making of the cell. In the second embodiment, a low-viscosity material is used in such a manner so as to enable self-alignment of the floating gate to the STI in a simple way.
    Type: Grant
    Filed: August 14, 2000
    Date of Patent: June 11, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Wen-Ting Chu, Di-Son Kuo, Jack Yeh, Chia-Ta Hsieh, Chuan-Li Chang
  • Patent number: 6402974
    Abstract: In accordance with the present invention, during a polysilicon etch back, a controlled amount of oxygen (O2) is added to the plasma generation feed gases, to reduce pitting of the etched back polysilicon surface. The plasma etchant is generated from a plasma source gas comprising: (i) at least one fluorine-containing gas, and (ii) oxygen. The invention may be practiced in any of a number of apparatus adapted to expose polysilicon to a plasma etchant. One preferred apparatus is a decoupled plasma source (DPS™, Applied Materials, Santa Clara, Calif.) etching system. Another preferred apparatus is a magnetically enhanced plasma (MXP™, Applied Materials, Santa Clara, Calif.) etching system.
    Type: Grant
    Filed: July 27, 1999
    Date of Patent: June 11, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Jitske Trevor, Shashank Deshmukh, Jeff Chinn
  • Publication number: 20020068459
    Abstract: Disclosed is a manufacturing method of a semiconductor device, in which etching of a silicon oxide film is performed using a gaseous phase including hydrofluoric acid. A hard mask made of a compound of Si with C or a compound of Si with N is used to perform etching of a silicon oxide film in a gaseous phase including hydrofluoric acid.
    Type: Application
    Filed: October 3, 2001
    Publication date: June 6, 2002
    Inventor: Sachie Mochizuki
  • Patent number: 6399505
    Abstract: A system and method for reducing contamination in a semiconductor device formed on a substrate is disclosed. The method and system include providing a barrier metal layer on the substrate. A first portion of the barrier metal layer is thinner than a second portion of the barrier metal layer. The method and system further include removing the first portion of the barrier metal layer.
    Type: Grant
    Filed: October 20, 1997
    Date of Patent: June 4, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Takeshi Nogami
  • Patent number: 6395644
    Abstract: A process for fabricating a semiconductor device using an ARC layer includes the formation of a silicon-rich silicon nitride material to provide an anti-reflective layer over a electrically conductive or semiconductor surface. The silicon-rich silicon nitride material is plasma deposited to provide a material having a desired refractive index, thickness uniformity, and density. The process includes the formation of a device layer on a semiconductor substrate. The device layer includes at least a silicon layer and a silicon oxide layer. A silicon-rich silicon nitride layer is formed to overlie the device layer. The silicon-rich silicon nitride material can be selectively etched, such that the silicon material and the silicon oxide material in the underlying device layer are not substantially etched.
    Type: Grant
    Filed: January 18, 2000
    Date of Patent: May 28, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Dawn M. Hopper, Minh Van Ngo, David K. Foote
  • Patent number: 6391788
    Abstract: A two etchant etch method for etching a layer that is part of a masked structure is described. The method is useful, for example, in microelectrical mechanical system (MEMS) applications, and in the fabrication of integrated circuits and other electronic devices. The method can be used advantageously to optimize a plasma etch process capable of etching strict profile control trenches with 89°+/−1° sidewalls in silicon layers formed as part of a mask structure where the mask structure induces variations in etch rate. The inventive two etchant etch method etches a layer in a structure with a first etchant etch until a layer in a fastest etching region is etched. The layer is then etched with a second etchant until a layer in a region with a slowest etch rate is etched. A second etchant may also be selected to provide sidewall passivation and selectivity to an underlying layer of the structure.
    Type: Grant
    Filed: February 25, 2000
    Date of Patent: May 21, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Anisul Khan, Ajay Kumar, Jeffrey D. Chinn, Dragan Podlesnik
  • Patent number: 6387797
    Abstract: A method of manufacturing semiconductors is provided which avoids metal deposition in voids formed in the dielectric between interconnects. In a preferred embodiment, an etch stop recess portion is provided over the dielectric which encloses the interconnects to prevent via openings from extending into the voids during the etching of the via openings. Accordingly, metal deposition of the voids during metal deposition of the vias is avoided. As a result, the semiconductors so formed has reduced capacitance between the interconnects and improved reliability since the voids are cleared of any metal deposition.
    Type: Grant
    Filed: January 20, 1999
    Date of Patent: May 14, 2002
    Assignee: Philips Electronics No. America Corp.
    Inventors: Subhas Bothra, Rao Annapragada
  • Patent number: 6383937
    Abstract: A method is disclosed for fabricating a semiconductor device structure which include a thin foot charge drain beneath the device on a silicon substrate. The structures retain high speed operation of SOI devices. In various embodiments, the invention includes forming a first diffusion-barrier layer on a semiconductor substrate, patterning the said first diffusion-barrier layer and the said silicon substrate to certain depth to form a trench, forming a second diffusion-barrier layer and patterning the said second diffusion-barrier layer to form a first spacer on the sidewall of the trench. Performing a directional etching to expose a portion of the sidewall of the trench. Introducing dopants into the said exposed sidewall to form a doped regions near the sidewall. Performing an isotropic etching using halogen gas plasma.
    Type: Grant
    Filed: November 17, 2000
    Date of Patent: May 7, 2002
    Assignee: Vanguard International Semiconductor Corp.
    Inventor: Horng-Huei Tseng
  • Publication number: 20020052120
    Abstract: In a wafer treatment apparatus, a hydrofluoric acid gas supply pipe and an evacuation pipe are connected to a chamber storing a wafer for performing prescribed treatment. A control part is provided for controlling supply of hydrofluoric acid gas. The control part sets a time for supplying the hydrofluoric acid gas into the chamber to be longer than a time up to starting of etching of a reaction product and shorter than a time up to starting of etching of a gate insulator film. Thus, only the reaction product can be substantially etched without etching the gate insulator film.
    Type: Application
    Filed: August 22, 2001
    Publication date: May 2, 2002
    Applicant: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Kenji Shintani, Mutsumi Tsuda, Masakazu Taki, Hiroki Ootera
  • Patent number: 6376389
    Abstract: The present invention provides a method for manufacturing a semiconductor device without the use of an anti-reflective coating. In one embodiment, electrical devices are formed on a semiconductor substrate. A material with a low dielectric constant such as an oxide is then deposited. The low dielectric layer is then covered with photoresist and photolithographically processed and subsequently developed. The low dielectric layer is then etched using the pattern formed on the photoresist and the photoresist is later removed. Because this process works in any similar circumstances, good examples of its application are the formation of both contacts and local interconnects.
    Type: Grant
    Filed: May 31, 2000
    Date of Patent: April 23, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ramkumar Subramanian, Minh Van Ngo, Kashmir Sahota, Yongzhong Hu, Hiroyuki Kinoshita, Fei Wang, Wenge Yang
  • Patent number: 6368980
    Abstract: A resist mark for measuring the accuracy of overlay of a photomask disposed on a semiconductor wafer, includes a first measurement mark having a first opening, formed on the substrate, an intermediate layer formed on the first measurement mark and in the first opening, a frame-shaped second measurement mark formed on the intermediate layer, and a third measurement mark that is spaced from the second measurement mark toward the outside, formed on the intermediate layer. The second measurement mark has a width which is short enough not to be influenced by a deformation caused by the thermal flow phenomenon.
    Type: Grant
    Filed: December 13, 1999
    Date of Patent: April 9, 2002
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Akiyuki Minami, Satoshi Machida
  • Publication number: 20020039841
    Abstract: There is provided a patterning method which makes it possible to form a desired preferable pattern having no reduction in the pattern thickness in a boundary portion where a group of patterns are joined using a plurality of exposure masks. There is provided a patterning method for forming a group of patterns in which first patterns to serve as basic units are repetitively arranged using a plurality of exposure masks. When a third region sandwiched by a first region exposed with a first exposure mask and a second region exposed with a second exposure mask is exposed with the first and second exposure masks in a complementary manner, repetitive unit patterns for exposing the third region are different from the first patterns.
    Type: Application
    Filed: September 24, 2001
    Publication date: April 4, 2002
    Applicant: FUJITSU LIMITED
    Inventor: Hideaki Takizawa
  • Patent number: 6362113
    Abstract: A method of forming a desired rectangular pattern in a material layer above a substrate. The method includes providing a substrate having a material layer thereon. A hard mask layer is next formed over the material layer, and then a first photoresist layer having a first pattern therein is formed over the hard mask layer. A first etching operation is carried out while using the first photoresist layer as an etching mask to remove a portion of the hard mask layer, thereby transferring the pattern in the first photoresist layer to the hard mask layer. The first photoresist layer is removed. A second photoresist layer having a second pattern therein is formed over the substrate. A second etching operation is carried out to remove a portion of the material layer while using the patterned second photoresist layer and the hard mask layer as an etching mask. Hence, the desired rectangular pattern is formed in the material layer.
    Type: Grant
    Filed: January 25, 2000
    Date of Patent: March 26, 2002
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Ling-Sung Wang
  • Patent number: 6346455
    Abstract: A method of forming a corrugated capacitor on a semiconductor component. The method of forming the corrugated capacitor comprising a series of depositing alternating layers of doped silicon glass having different etch rates on a semiconductor component, covering the alternating layers with an etch resistant material, and etching the alternating layers thereby forming a capacitor structure having corrugated sides.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: February 12, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Randhir P. S. Thakur, Gordon Haller, Kirk D. Prall
  • Publication number: 20020006734
    Abstract: Densely disposed patterns constituting a semiconductor integrated circuit device are divided into a first mask pattern and a second mask pattern 28B such that a phase shifter S can be disposed, and a predetermined pattern is transferred on a semiconductor substrate by multiple-exposure thereof. The second mask pattern 28B has a main light transferring pattern 26c1, a plurality of auxiliary light transferring patterns 26c2 disposed thereabout, and a phase shifter S disposed in the main light transferring pattern 26c1. The auxiliary light transferring patterns 26c2 are disposed such that respective distances from a center of each thereof to a center of the main light transferring pattern 26c1 are substantially equal. With this arrangement, a densely disposed pattern is transferred with sufficient process transfer margin.
    Type: Application
    Filed: July 13, 2001
    Publication date: January 17, 2002
    Inventors: Akira Imai, Katsuya Hayano, Norio Hasegawa
  • Publication number: 20010054601
    Abstract: A high plasma density etch process for etching an oxygen-containing layer overlying a non-oxygen containing layer on a workpiece in a plasma reactor chamber, by providing a chamber ceiling overlying the workpiece and containing a semiconductor material, supplying into the chamber a process gas containing etchant precursor species, polymer precursor species and hydrogen, applying plasma source power into the chamber, and cooling the ceiling to a temperature range at or below about 150 degrees C. The etchant and polymer precursor species contain fluorine, and the chamber ceiling semiconductor material includes a fluorine scavenger precursor material. Preferably, the process gas includes at least one of CHF3 and CH2F2. Preferably, the process gas further includes a species including an inert gas, such as HeH2 or Ar. If the chamber is of the type including a heated fluorine scavenger precursor material, this material is heated to well above the polymer condensation temperature, while the ceiling is cooled.
    Type: Application
    Filed: January 16, 1998
    Publication date: December 27, 2001
    Inventor: JIAN DING
  • Patent number: 6329296
    Abstract: Textured silicon solar cells and techniques for their manufacture utilizing metal sources to catalyze formation of randomly distributed surface features such as nanoscale pyramidal and columnar structures. These structures include dimensions smaller than the wavelength of incident light, thereby resulting in a highly effective anti-reflective surface. According to the invention, metal sources present in a reactive ion etching chamber permit impurities (e.g. metal particles) to be introduced into a reactive ion etch plasma resulting in deposition of micro-masks on the surface of a substrate to be etched. Separate embodiments are disclosed including one in which the metal source includes one or more metal-coated substrates strategically positioned relative to the surface to be textured, and another in which the walls of the reaction chamber are pre-conditioned with a thin coating of metal catalyst material.
    Type: Grant
    Filed: August 9, 2000
    Date of Patent: December 11, 2001
    Assignee: Sandia Corporation
    Inventors: Douglas S. Ruby, Saleem H. Zaidi
  • Publication number: 20010046782
    Abstract: A method for forming contact window is disclosed. Essential concept of the invention comprises over coating layer formed over surface before forming contact window is formed and the etching rate of over coating layer is higher than etching rate of underlying layer. The method comprises following steps: First, forming semiconductor structures on surface of wafer. Second, forming a coating layer over the surface and covering these semiconductor structures. Third, forming an over coating layer on the coating layer, where etching rate of over coating layer is higher than etching rate of coating layer. Finally, form contact window with outwardly winded shape. Thus, contact window formed by the invention is more convenient for filling material than contact window formed by conventional method. In addition, because width of contact window is not obviously increased, this invention is more beneficial for deep-submicron fabrication.
    Type: Application
    Filed: April 23, 2001
    Publication date: November 29, 2001
    Inventors: Chien-Li Kuo, Wei-Wu Liao
  • Publication number: 20010044213
    Abstract: A method of plasma etching of silicon that utilizes the plasma to provide laterally defined recess structures through a mask. The method is based on the variation of the plasma parameters to provide a well-controlled anisotropic etch, while achieving a very high etch rate, and a high selectivity with respect to a mask. A mixed gas is introduced into the vacuum chamber after the chamber is evacuated, and plasma is generated within the chamber. The substrate's surface is exposed to the plasma. Power sources are used for formation of the plasma discharge. An integrated control system is used to modulate the plasma discharge power and substrate polarization voltage levels.
    Type: Application
    Filed: April 21, 1999
    Publication date: November 22, 2001
    Inventors: TAMARAK PANDHUMSOPORN, KEVIN YU, MICHAEL FELDBAUM, MICHEL PUECH
  • Patent number: 6319797
    Abstract: An HSQ film 4 is formed on a silicon oxide film 1 and the film 4 is subject to B2H6 plasma irradiation, to form a boron-implanted region 5. After forming a plasma TEOS film 6 on the region, a concave 8 is formed with a hydrofluoric acid-containing etchant, while wet-etching is stopped on the boron-implanted region 5. Then, the exposed HSQ film 4 in the bottom of the concave 8 is dry-etched to form a contact hole 9 reaching an Al interconnection 2. Then, the contact hole 9 is filled with an upper interconnection material to provide a multilayered interconnection structure.
    Type: Grant
    Filed: December 21, 1999
    Date of Patent: November 20, 2001
    Assignee: NEC Corporation
    Inventor: Tatsuya Usami
  • Patent number: 6319844
    Abstract: According to a fabrication method of a semiconductor device, differing areas of metal interconnect layers 102a and 102b are formed on top of interlayer base layer 101. An HSQ layer 103 is then deposited over them. A plasma SiO2 is then deposited on top of the HSQ film 103. Afterwards, the top surface of the plasma SiO2 film 104 is subjected to the CMP process so that its surface can be smoothed. A photoresist film 105 is deposited on top of the SiO2 film 104 and then patterned for a subsequent step of making via holes. Afterwards, the insulation film 104 and HSQ film 103 are selectively etched so as to dig via holes 110 so that the bottoms 120 of the via holes 110 respectively end at the top surfaces of the interconnect layers 102a and 102b. This etching is performed using a mixture of a fluorine-based gas and a hydrogen-based gas.
    Type: Grant
    Filed: April 7, 2000
    Date of Patent: November 20, 2001
    Assignee: NEC Corporation
    Inventors: Tatsuya Usami, Hidenobu Miyamoto
  • Patent number: 6319654
    Abstract: The present invention relates to a process for forming a photoresist pattern by employing a silylation process, and particularly to a method for forming a photoresist pattern according to a top surface imaging (TSI) process using a photoresist composition comprising a cross-linker having a cross-linker monomer of the following Chemical Formula 1 or 2. The photoresist composition containing a polymer of the above cross-linker monomer is preferably used in a TSI process which has been optimized by controlling the conditions of each step, such as temperature and time, thereby obtaining an ultrafine pattern that can be more efficiently applied to a 4 G or 16 G DRAM semiconductor fabrication process: wherein, R1, R2, R3, R5, R6, R7, R, m and n are as defined in the specification attached hereto.
    Type: Grant
    Filed: May 5, 2000
    Date of Patent: November 20, 2001
    Assignee: Hyundai Electronics Industries
    Inventors: Myoung Soo Kim, Jae Chang Jung, Hyung Gi Kim, Ki Ho Baik
  • Patent number: 6309962
    Abstract: A process for forming a dual damascene cavity in a dielectric, particularly a low k organic dielectric, is described. The dielectric is composed of two layers separated by an etch stop layer. Formation of the damascene cavity is achieved by using a hard mask that is made up of two layers of silicon oxynitride separated by layer of silicon oxide. For both the trench first and via first approaches, the first cavity is formed using only the upper silicon oxynitride layer as the mask. Thus, when the second portion is patterned, little or no misalignment occurs because said upper layer is relatively thin. Additional etching steps result in a cavity and trench part that extend as far as the etch stop layer located between the dielectric layers. Final removal of photoresist occurs with a hard mask still in place so no damage to the organic dielectric occurs. A final etch step then completes the process.
    Type: Grant
    Filed: September 15, 1999
    Date of Patent: October 30, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chao-Cheng Chen, Li-Chi Chao, Jen-Cheng Liu, Min-Huei Lui, Chia-Shiung Tsai
  • Patent number: 6309974
    Abstract: Residual oxygen impurities are eliminated from silicon wafers pulled from a crucible (Czochralski silicon). A multitude of trenches are etched into the back side of the crucible-pulled silicon wafer and the wafer is subsequently heat-treated at about 1100° C. The very large surface area at the front side of the silicon wafer allows oxygen impurities to diffuse out effectively. After the diffusion has been carried out, the trenches are filled with heavily doped polysilicon without leaving gaps.
    Type: Grant
    Filed: September 17, 1998
    Date of Patent: October 30, 2001
    Assignee: Infineon Technologies AG
    Inventors: Helmut Strack, Jens-Peer Stengl
  • Patent number: 6306772
    Abstract: A method to fabricate bottle-shaped deep trench into a semiconductor substrate. After a neck profile is formed, the chlorine gas at a predetermined flow rate is added to the etching plasma gas composition, while the flow rates of the plasma gases are increased by about 30% by volume, to create an enlarged lower portion of the deep trench. Preferably, the neck portion is etched using an etching composition which contains HBr, NF3, and (He/O2) provided at flow rates of about 87:13:35 sccm. The enlarged lower portion is etched using an etching composition which contains HBr, NF3, and (He/O2) provided at flow rates of about 113±12:17±2:46±5 sccm, and Cl2 provided at a flow rate between 10 and 40 sccm. It was found that the width of the lower portion of the deep trench can be increased by 100% with minimum side effects such as polymer deposition in the plasma chamber, which could occur as result of substantially increased flow rate of HBr and/or NF3.
    Type: Grant
    Filed: April 19, 2000
    Date of Patent: October 23, 2001
    Assignees: ProMos Technology, Inc, Mosel Vitelic Inc, Siemens AG
    Inventors: Ming-Horng Lin, Ray Lee, Nien-Yu Tsai
  • Patent number: 6306774
    Abstract: In one aspect, the invention encompasses a semiconductor processing method comprising contacting a surface with a liquid solution comprising at least one fluorine-containing species and a temperature of at least about 40° C. In another aspect, the invention encompasses a method of passivating a silicon-comprising layer comprising contacting the layer with a liquid solution comprising hydrogen fluoride and a temperature of at least about 40° C. In yet another aspect, the invention encompasses a method of forming hemispherical grain polysilicon comprising: a) forming a layer comprising substantially amorphous silicon over a substrate; b) contacting the layer comprising substantially amorphous silicon with a liquid solution comprising fluorine-containing species and a temperature of at least about 40° C.; c) seeding the layer comprising substantially amorphous silicon; and d) annealing the seeded layer to convert at least a portion of the seeded layer to hemispherical grain polysilicon.
    Type: Grant
    Filed: August 25, 1999
    Date of Patent: October 23, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Er-Xuan Ping, Li Li
  • Publication number: 20010031561
    Abstract: A method for minimizing the critical dimension growth of a feature on a semiconductor wafer includes performing an etch operation in a reactor 20 and controlling the temperature of the wafer 26 by controlling the pressure of the gas contacting the backside of the wafer 26 and/or providing a heat source 56 such as for example in the chuck 46 or electrode 28 associated with the wafer 26 in order to heat the wafer 26.
    Type: Application
    Filed: June 13, 2001
    Publication date: October 18, 2001
    Applicant: Tegal Corporation
    Inventors: Stephen P. DeOrnellas, Alferd Cofer, Leslie G. Jerde, Kurt A. Olson, Paritosh Rajora
  • Patent number: 6303515
    Abstract: In one aspect, the invention encompasses a semiconductor processing method comprising contacting a surface with a liquid solution comprising at least one fluorine-containing species and a temperature of at least about 40° C. In another aspect, the invention encompasses a method of passivating a silicon-comprising layer comprising contacting the layer with a liquid solution comprising hydrogen fluoride and a temperature of at least about 40° C. In yet another aspect, the invention encompasses a method of forming hemispherical grain polysilicon comprising: a) forming a layer comprising substantially amorphous silicon over a substrate; b) contacting the layer comprising substantially amorphous silicon with a liquid solution comprising fluorine-containing species and a temperature of at least about 40° C.; c) seeding the layer comprising substantially amorphous silicon; and d) annealing the seeded layer to convert at least a portion of the seeded layer to hemispherical grain polysilicon.
    Type: Grant
    Filed: August 26, 1999
    Date of Patent: October 16, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Er-Xuan Ping, Li Li
  • Publication number: 20010029106
    Abstract: A method or process for etching a trench in an IC structure is disclosed. The IC structure might be comprised of a plurality of different component materials arranged proximate to one another, all of which need to be etched down to a target level. A first etching chemistry is applied which preferentially etches a one type of component material. A second etching chemistry is applied which preferentially etches another type of component material. The method or process toggles back and forth between the etching chemistries until the target level is reached. The toggling techniques serves to maintain the profiles of the different component materials. One component material might also be embedded, as a collar or otherwise, around another component material. The toggling technique can serve to modulate the height, level, or shape of one material relative to another material. The toggling steps can be performed in situ or ex situ.
    Type: Application
    Filed: February 27, 2001
    Publication date: October 11, 2001
    Applicant: Lam Research Corporation
    Inventors: Alan J. Miller, Fandayani Soesilo
  • Patent number: 6297170
    Abstract: The present invention relates to semiconductor devices in general, and more particularly to semiconductor devices having anti-reflective coatings to aid in the patterning of a reflective layer thereon to form, for example, a gate electrode. The invention also relates to methods for making a semiconductor having a patterned reflective layer.
    Type: Grant
    Filed: June 23, 1998
    Date of Patent: October 2, 2001
    Assignee: VLSI Technology, Inc.
    Inventors: Calvin Todd Gabriel, Jacob Haskell, Satyendra Sethi
  • Patent number: 6294099
    Abstract: A method of producing a circular island even if pollution by dirt or dust affects a mask pattern. A circular island is formed by etching silicon oxide film formed on a silicon substrate using a resist mask, and an acute angle portion of unevenness of the pattern outline comprising silicon oxide film is removed so as to obtain a smooth and substantially circular pattern by additional etching using buffered hydrofluoric acid solution (BHF) after removing the resist mask.
    Type: Grant
    Filed: November 19, 1998
    Date of Patent: September 25, 2001
    Assignee: Seiko Instruments Inc.
    Inventors: Yoshiharu Shirakawabe, Hiroshi Takahashi, Susumu Ihcihara, Michel Despont
  • Patent number: 6294450
    Abstract: A method for forming a platen useful for forming nanoscale wires for device applications comprises: (a) providing a substrate having a major surface; (b) forming a plurality of alternating layers of two dissimilar materials on the substrate to form a stack having a major surface parallel to that of the substrate; (c) cleaving the stack normal to its major surface to expose the plurality of alternating layers; and (d) etching the exposed plurality of alternating layers to a chosen depth using an etchant that etches one material at a different rate than the other material to thereby provide the surface with extensive strips of indentations and form the platen useful for molding masters for nano-imprinting technology. The pattern of the platen is then imprinted into a substrate comprising a softer material to form a negative of the pattern, which is then used in further processing to form nanowires.
    Type: Grant
    Filed: March 1, 2000
    Date of Patent: September 25, 2001
    Assignee: Hewlett-Packard Company
    Inventors: Yong Chen, R. Stanley Williams
  • Publication number: 20010023133
    Abstract: A transistor structure is provided. This structure has a source electrode and a drain electrode. A doped cap layer of GaxIn1-xAs is disposed below the source electrode and the drain electrode and provides a cap layer opening. An undoped resistive layer of GaxIn1-xAs is disposed below the cap layer and defines a resistive layer opening in registration with the cap layer opening and having a first width. A Schottky layer of AlyIn1-yAs is disposed below the resistive layer. An undoped channel layer is disposed below the Schottky layer. A semi-insulating substrate is disposed below the channel layer. A top surface of the Schottky layer beneath the resistive layer opening provides a recess having a second width smaller than the first width. A gate electrode is in contact with a bottom surface of the recess provided by the Schottky layer.
    Type: Application
    Filed: April 17, 2001
    Publication date: September 20, 2001
    Inventors: William E. Hoke, Katerina Y. Hur