Differential Etching Of Semiconductor Substrate Patents (Class 438/735)
  • Patent number: 6762131
    Abstract: A method for forming atomic-scale structures on a surface of a substrate on a large-scale includes creating a predetermined amount of surface vacancies on the surface of the substrate by removing an amount of atoms on the surface of the material corresponding to the predetermined amount of the surface vacancies. Once the surface vacancies have been created, atoms of a desired structure material are deposited on the surface of the substrate to enable the surface vacancies and the atoms of the structure material to interact. The interaction causes the atoms of the structure material to form the atomic-scale structures.
    Type: Grant
    Filed: April 13, 2002
    Date of Patent: July 13, 2004
    Assignee: The Board of Trustees of the University of Illinois
    Inventors: Chong Wee Lim, Kenji Ohmori, Ivan Georgiev Petrov, Joseph E. Greene
  • Publication number: 20040127007
    Abstract: A method of formation a gate in a semiconductor device includes forming a gate oxide layer and a sacrificial layer on a semiconductor substrate. The sacrificial layer is then selectively etched to form a sidewall opening. Next, a polycrystalline silicon layer is formed on an area of the gate oxide layer exposed through the sidewall opening and on the sacrificial layer. Anisotropic etching of the polycrystalline silicon layer is performed such that sidewall gates are formed by remaining portions of the polycrystalline silicon layer on sidewalls of the sidewall opening, a width of the sidewall gates corresponding to a desired width of a gate. The sacrificial layer is removed following etching of the polycrystalline silicon layer.
    Type: Application
    Filed: December 15, 2003
    Publication date: July 1, 2004
    Inventor: Young-Hun Seo
  • Patent number: 6750152
    Abstract: A semiconductor wafer is etched to create an array of MEMS devices and at the same time, test sites having geometry which represent critical geometry of the MEMS devices. Probe contacts are provided in the test sites to permit measurement of resistance and capacitance between test site geometry as a way of determining the effectiveness of the etch. One test site comprises a ladder of semiconductor structures separated by gaps of graded width. Another test site comprises finger structures formed over a cavity and the probe contacts are located so as to detect inter-finger capacitance and resistance (or continuity) as well as intra-finger resistance.
    Type: Grant
    Filed: October 1, 1999
    Date of Patent: June 15, 2004
    Assignee: Delphi Technologies, Inc.
    Inventors: John Carl Christenson, Steven Edward Staller, John Emmett Freeman, Troy Allan Chase, Robert Lawrence Healton
  • Patent number: 6740598
    Abstract: A wiring layer dry etching method is improved not to reduce electrical characteristics of a semiconductor device. A semiconductor substrate on which a mask for patterning a wiring layer is formed is prepared, in which mask is formed on wiring layer (a first step). Affected layers on a surface of the wiring layer are dry-etched and removed (second step). Wiring layer is dry-etched by using mask (third step). When shifting is performed from the second step to the third step, vacuuming is not performed, and continuous discharge is performed.
    Type: Grant
    Filed: April 9, 2002
    Date of Patent: May 25, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Kenji Kawai, Atsunori Nishiura, Ryoichi Yoshifuku
  • Publication number: 20040097093
    Abstract: A semiconductor test circuit includes an input terminal, a controller, a setting circuit, a command generator, a transmission path switching circuit and a comparator. The input terminal receives a serial data including a command code and a control data. The controller receives a control signal and outputs an internal control signal based on the control signal. The setting circuit receives the serial data and outputs it in response to the internal control signal. The command generator generates an interface signal based on the serial data received from the setting circuit. The switching circuit has ports, receives the signal from one of the ports and outputs the received signal to another one of the ports in response to the internal control signal and the command code. The comparator compares the interface signal received from the command generator with the signal received from the switching circuit.
    Type: Application
    Filed: March 28, 2003
    Publication date: May 20, 2004
    Inventors: Hiroyuki Fukuyama, Takeru Yonaga, Hitoshi Tanaka
  • Patent number: 6734107
    Abstract: A method for forming transistor devices having a reduced pitch. The pitch of the formed devices can be reduced to, e.g., half that of conventional devices by using current photolithography conditions. Since the pitch of the devices can be reduced, the device integration can be increased, resulting in smaller and faster integrated circuits. In a preferred embodiment, a conductive layer, a stop layer, and a polysilicon layer are formed on a substrate. A patterned photoresist layer is formed on the polysilicon layer, and a first polymer layer is formed on surfaces of the photoresist layer. The first polymer layer is used as an etching mask to define the polysilicon layer, the stop layer, and the conductive layer. An oxide layer is formed on the substrate, and then the oxide layer is etched back until the polysilicon layer is exposed. The polysilicon layer is removed, and a second polymer layer is formed on surfaces of the oxide layer.
    Type: Grant
    Filed: June 12, 2002
    Date of Patent: May 11, 2004
    Assignee: Macronix International Co., Ltd.
    Inventors: Jiun-Ren Lai, Chien-Wei Chen
  • Patent number: 6723617
    Abstract: The present invention relates to a method of manufacturing a semiconductor device. When a trench of a STI structure is formed, a portion of a pad nitride film on an active region is removed . Thus, formation of a moat around an upper corner portion of the trench of the STI structure is prevented. Also, the upper corner portion of the trench is rounded. Therefore, a parasitic effect, degradation in gate oxide integrity, an inverse narrow effect and a sub-threshold hump phenomenon can be prevented. Further, a breakdown phenomenon, a gate bridge phenomenon and difference in the coupling ratio between gate electrodes can be prevented.
    Type: Grant
    Filed: December 6, 2002
    Date of Patent: April 20, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventor: Myung Gyu Choi
  • Patent number: 6709917
    Abstract: A method of fabricating a high aspect ratio deep trench in a semiconductor substrate comprising reducing the formation of a passivation film during the etching of the trench by including a first step of contacting the substrate in which the deep trench is to be formed with a fluorine poor or low concentration of a fluorine gas in the plasma of etchant gases for etching the high aspect ratio deep trench, followed by a second step of increasing the concentration of the fluorine containing gas to create a fluorine-rich plasma while lowering the chamber pressure of the reactor and RF power. Preferably, the second step is introduced periodically during the etching of a deep trench in an alternating manner.
    Type: Grant
    Filed: May 13, 2002
    Date of Patent: March 23, 2004
    Assignee: International Business Machines Corporation
    Inventors: Siddhartha Panda, Rajiv M. Ranade, Gangadhara S. Mathad
  • Publication number: 20040043622
    Abstract: Nonvolatile memory devices, such as NROM devices that have an oxide-nitride-oxide (ONO) layer beneath at least one word line structure, and methods for making same, are disclosed. The ONO layer is formed on a substrate, followed by a patterned photoresist layer being formed on the ONO layer. The patterned photoresist layer then serves as an implanting mask to form at least one bit line in the substrate, followed by a material layer being formed on the substrate. The material layer is planarized until the photoresist layer is exposed, and the photoresist layer is then removed. A polymer layer is formed, using a dielectric resolution enhancement coating technique, on exposed surfaces of the material layer, with the polymer layer serving as an etching mask to define the top oxide layer and the nitride layer of the ONO layer. The polymer layer and the material layer are then removed.
    Type: Application
    Filed: September 4, 2002
    Publication date: March 4, 2004
    Inventor: Chien-Wei Chen
  • Patent number: 6696364
    Abstract: A method for manipulating MEMS devices integrated on a semiconductor wafer and intended to be diced one from the other includes bonding of the semiconductor wafer including the MEMS devices on a support with interposition of a bonding sheet. The method may also include completely cutting or dicing of the semiconductor wafer into a plurality of independent MEMS devices, and processing the MEMS devices diced and bonded on the support in a treatment environment for semiconductor wafers. A support for manipulating MEMS devices is also included.
    Type: Grant
    Filed: August 8, 2002
    Date of Patent: February 24, 2004
    Assignee: STMicroelectronics S.r.l.
    Inventors: Ilaria Gelmi, Simone Sassolini, Stefano Pozzi, Massimo Garavaglia
  • Publication number: 20040029391
    Abstract: The present invention provides a method for improving a physical property of a substrate, a method for manufacturing an integrated circuit, and an integrated circuit manufactured using the aforementioned method. In one aspect of the invention, the method for improving a physical property of a substrate includes subjecting the substrate to effects of a plasma process 830, wherein the substrate has a physical property defect value associated therewith subsequent to the plasma process. The method further includes exposing the substrate to an ultraviolet (UV) energy source 840 to improve the physical property defect value.
    Type: Application
    Filed: August 8, 2003
    Publication date: February 12, 2004
    Applicant: Texas Instruments Incorporated
    Inventors: Brian K. Kirkpatrick, Mercer Brugler, Eddie Breashears, Jon Holt, Corbett Zabierek, Rajesh Khamankar
  • Patent number: 6689682
    Abstract: A multilayer electrically conductive stack is formed in a semiconductor device prior to one step of photolithography. In this multilayer electrically conductive stack, alternate layers of the stack contain materials that differ in their refractive indices. In one instance, the electrically conductive stack can serve as an anti-reflective coating in the photolithographical processing. As the electrically conductive stack has chemical and electrical properties similar to those of an underlying device structures, removal of the multilayer stack after the photolithographical step is not required. In one instance, the electrically conductive stack can be used to form a gate structure or an interconnect structure. In an embodiment of the invention, alternate layers consist of Si1−xGex and Si, respectively.
    Type: Grant
    Filed: August 7, 2001
    Date of Patent: February 10, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Robert B. Ogle, Tuan Duc Pham, Marina V. Plat
  • Patent number: 6686295
    Abstract: A method to anisotropically etch an oxide/silicide/poly sandwich structure on a silicon wafer substrate in situ, that is, using a single parallel plate plasma reactor chamber and a single inert cathode, with a variable gap between cathode and anode. This method has an oxide etch step and a silicide/poly etch step. The fully etched sandwich structure has a vertical profile at or near 90° from horizontal, with no bowing or notching.
    Type: Grant
    Filed: August 14, 2002
    Date of Patent: February 3, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Rod C. Langley
  • Patent number: 6669858
    Abstract: A method of depositing and etching dielectric layers having low dielectric constants and etch rates that vary by at least 3:1 for formation of horizontal interconnects. The amount of carbon or hydrogen in the dielectric layer is varied by changes in deposition conditions to provide low k dielectric layers that can replace etch stop layers or conventional dielectric layers in damascene applications. A dual damascene structure having two or more dielectric layers with dielectric constants lower than about 4 can be deposited in a single reactor and then etched to form vertical and horizontal interconnects by varying the concentration of a carbon:oxygen gas such as carbon monoxide. The etch gases for forming vertical interconnects preferably comprises CO and a fluorocarbon, and CO is preferably excluded from etch gases for forming horizontal interconnects.
    Type: Grant
    Filed: November 5, 2001
    Date of Patent: December 30, 2003
    Assignee: Applied Materials Inc.
    Inventors: Claes H. Bjorkman, Min Melissa Yu, Hongquing Shan, David W. Cheung, Wai-Fan Yau, Kuowei Liu, Nasreen Gazala Chapra, Gerald Yin, Farhad K. Moghadam, Judy H. Huang, Dennis Yost, Betty Tang, Yunsang Kim
  • Patent number: 6660611
    Abstract: A method of forming a corrugated capacitor on a semiconductor component. The method of forming the corrugated capacitor comprises a series of depositing alternating layers of doped silicon glass having different etch rates on a semiconductor component, covering the alternating layers with an etch-resistant material, and etching the alternating layers, thereby forming a capacitor structure having corrugated sides.
    Type: Grant
    Filed: December 7, 2001
    Date of Patent: December 9, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Randhir P. S. Thakur, Gordon Haller, Kirk D. Prall
  • Patent number: 6660653
    Abstract: Fabricating a dual-trench alternating phase shift mask (PSM) is disclosed. A chromium layer over a quartz layer of the PSM is patterned according to a semiconductor design. The quartz layer is dry etched a first number of times through a first photoresist layer applied over the chromium layer and patterned according to the deep trenches of the alternating PSM design by using beam writing. This initially forms deep trenches of the PSM. The quartz layer is dry etched a second number of times through a second photoresist layer applied over the chromium layer and patterned according to the deep trenches and the shallow trenches of the alternating PSM design by using backside ultraviolet exposure. This completely forms shallow trenches and the deep trenches of the PSM. The second photoresist layer is then removed.
    Type: Grant
    Filed: May 21, 2002
    Date of Patent: December 9, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co. Ltd
    Inventors: San-De Tzu, Chang-Ming Dai, Ching-Hsing Chang
  • Patent number: 6660654
    Abstract: In a fabrication method for making spatially etched structures, in particular trench structures for semiconductor memory cells, in a semiconductor substrate made of a semiconductor material, a depth structure is produced in a surface of the semiconductor substrate. Afterward, an etching-resistant layer is deposited in a region of a sidewall of the depth structure, so that the sidewall is essentially not etched during subsequent etching steps. Afterward, an etchant is introduced into the depth structure and a potential field is applied in the semiconductor substrate. As a result, a spatial structure is etched proceeding from a region of the depth structure that is not covered by the etching-resistant layer, the spatial structure being dependent on the potential field.
    Type: Grant
    Filed: October 28, 2002
    Date of Patent: December 9, 2003
    Assignee: Infineon Technologies AG
    Inventor: Wolfgang Welser
  • Publication number: 20030219990
    Abstract: Fabricating a dual-trench alternating phase shift mask (PSM) is disclosed. A chromium layer over a quartz layer of the PSM is patterned according to a semiconductor design. The quartz layer is dry etched a first number of times through a first photoresist layer applied over the chromium layer and patterned according to the deep trenches of the alternating PSM design by using beam writing. This initially forms deep trenches of the PSM. The quartz layer is dry etched a second number of times through a second photoresist layer applied over the chromium layer and patterned according to the deep trenches and the shallow trenches of the alternating PSM design by using backside ultraviolet exposure. This completely forms shallow trenches and the deep trenches of the PSM. The second photoresist layer is then removed.
    Type: Application
    Filed: May 21, 2002
    Publication date: November 27, 2003
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: San-De Tzu, Chang-Ming Dai, Ching-Hsing Chang
  • Publication number: 20030215972
    Abstract: Formation of micro-fluidic systems is normally achieved using a multi-wafer fabrication procedure. The present invention teaches how a complete micro-fluidic system can be implemented on a single chip. The invention uses only dry etch processes to form micro-chambers. In particular, it makes use of deep reactive ion etching whereby multiple trenches of differing depths may be formed simultaneously. Buried micro-chambers are formed by isotropically increasing trench widths using an etchant that does not attack the mask so the trenches grow wider beneath the surface until they merge. Deposition of a dielectric layer over the trenches allows some trenches to be sealed and some to be left open. Micro-pumps are formed by including in the micro-chamber roof a layer that is used to change chamber volume either through electrostatically induced motion or through thermal mismatch as a result of its being heated.
    Type: Application
    Filed: May 16, 2002
    Publication date: November 20, 2003
    Inventors: Quanbo Zou, Yu Chen, Janak Singh, Tit Meng Lim, Tie Yan, Chew Kiat Heng
  • Patent number: 6649996
    Abstract: A method or process for etching a trench in an IC structure is disclosed. The IC structure might be comprised of a plurality of different component materials arranged proximate to one another, all of which need to be etched down to a target level. A first etching chemistry is applied which preferentially etches a one type of component material. A second etching chemistry is applied which preferentially etches another type of component material. The method or process toggles back and forth between the etching chemistries until the target level is reached. The toggling techniques serves to maintain the profiles of the different component materials. One component material might also be embedded, as a collar or otherwise, around another component material. The toggling technique can serve to modulate the height, level, or shape of one material relative to another material. The toggling steps can be performed in situ or ex situ.
    Type: Grant
    Filed: February 27, 2001
    Date of Patent: November 18, 2003
    Assignee: Lam Research Corporation
    Inventors: Alan J. Miller, Fandayani Soesilo
  • Patent number: 6630409
    Abstract: A method of forming an emitter electrode of a bipolar transistor. The emitter electrode includes a double-layered structure of a polysilicon layer and a refractory metal silicide layer. The method includes the steps of removing a natural oxide film from a surface of a polysilicon layer by a sputter-etching process using inert gas ions in the range of acceleration energy from 5 eV to 50 eV; depositing a refractory metal layer on the surface of the polysilicon layer; and carrying out a heat treatment to cause a silicidation reaction to form a refractory metal silicide layer over the polysilicon layer.
    Type: Grant
    Filed: March 27, 2001
    Date of Patent: October 7, 2003
    Assignee: NEC Electronics Corporation
    Inventor: Hiroshi Murase
  • Patent number: 6627515
    Abstract: A method of forming a buried silicon oxide region in a semiconductor substrate with portions of the buried silicon oxide region formed underlying portions of a strained silicon shape, and where the strained silicon shape is used to accommodate a semiconductor device, has been developed. A first embodiment of this invention features a buried oxide region formed in a silicon alloy layer, via thermal oxidation procedures. A first portion of the strained silicon layer, protected during the thermal oxidation procedure, overlays the silicon alloy layer while a second portion of the strained silicon layer overlays the buried oxide region. A second embodiment of this invention features an isotropic dry etch procedure used to form an isotropic opening in the silicon alloy layer, with the opening laterally extending under a portion of the strained silicon layer.
    Type: Grant
    Filed: December 13, 2002
    Date of Patent: September 30, 2003
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Horng-Huei Tseng, Jyh-Chyurn Guo, Chenming Hu, Da-Chi Lin
  • Patent number: 6623985
    Abstract: A semiconductor device and method for manufacturing the same in which the semiconductor device includes a substrate; an MOS transistor formed on the substrate; an interlayer dielectric provided on at least a portion of the MOS transistor; a hydrogen occluding material which is an interstitial hydrogen occluding compound, which is provided on the interlayer dielectric, and which is employed as a wire by being disposed in the vicinity of the top of the MOS transistor; and a ferroelectric capacitor which has a height which is greater than that of the MOS transistor, wherein the hydrogen occluding material is placed between the MOS transistor and the ferroelectric capacitor.
    Type: Grant
    Filed: July 28, 2000
    Date of Patent: September 23, 2003
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Yasushi Igarashi
  • Patent number: 6624077
    Abstract: A method for forming an optical waveguide includes depositing a cladding material on a first substrate, forming a trench in the cladding material on the first substrate, and filling the trench with a optically conductive core material. The upper surface of the cladding material and the optically conductive core material are then planarized to produce a substantially planar surface. The method further includes depositing a cladding material on a second substrate, forming a mirror image trench into the cladding material on the second substrate, and filling the mirror image trench with the optically conductive core material. The upper surface of the second cladding layer and the core material therein is then planarized. Thereafter, the first substrate is affixed to the second substrate such that the trench and the mirror image trench are in abutment and form a substantially circular optical core.
    Type: Grant
    Filed: December 17, 2001
    Date of Patent: September 23, 2003
    Assignee: Applied Materials, Inc.
    Inventor: John M. White
  • Publication number: 20030139056
    Abstract: A method for fabricating features of different depth in a semiconductor substrate by differential etching. Each of the features is first defined by a temporary mask and a metal layer is deposited and processed to provide a negative image of the original mask, the metal layer then acting as a protective layer during etching of the semiconductor substrate to fabricate the desired feature. The technique also allows the possibility that portions of two features of different depth may connect by opening into one another.
    Type: Application
    Filed: November 27, 2002
    Publication date: July 24, 2003
    Inventors: Yee Loy Lam, Kian Hin Victor Teo, Hiroshi Nakamura, Cher Liang Randall Cha
  • Patent number: 6593446
    Abstract: The present invention provides an organic anti-reflective film composition suitable for use in submicrolithography. The composition comprises a compound of chemical formula 11 and a compound of chemical formula 12. The organic anti-reflective film effectively absorbs the light penetrating through the photoresist film coated on top of the anti-reflective film, thereby greatly reducing the standing wave effect. Use of organic anti-reflective films of the present invention allows patterns to be formed in a well-defined, ultrafine configuration, providing a great contribution to the high integration of semiconductor devices. wherein a, b, c, R′, R″, R1, R2, R3, and R4 are those defined herein.
    Type: Grant
    Filed: September 7, 2000
    Date of Patent: July 15, 2003
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Jae-Chang Jung, Keun-Kyu Kong, Min-Ho Jung, Sung-Eun Hong, Geun-Su Lee, Ki-Ho Baik
  • Patent number: 6589880
    Abstract: There is provided a method of forming a fine pattern comprising the steps of: forming a work film to be processed on a substrate; forming a hard mask film which has a different etching rate from the work film and can serve as a mask to the work; forming a first resist pattern on the hard mask film by lithography; forming a hard mask pattern by etching a first section which is not covered with the first resist pattern till the upper surface of the work film is exposed; removing the first resist pattern; forming a second resist pattern on the hard mask pattern by lithography; etching a second section which is not covered with the second resist pattern by isotropic etching; removing the second resist pattern; and etching the work film through the hard mask pattern as a mask, partially subjected to the isotropic etching. This method enables to prevent the whole remaining patterns from shrinking even when fine patterns beyond the resolving power in lithography technologies are formed.
    Type: Grant
    Filed: December 28, 2000
    Date of Patent: July 8, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Takeo Ishibashi
  • Patent number: 6589715
    Abstract: A process for etching a PPMS layer that increases the etch selectivity of PPMS relative to PPMSO from an initial low etch selectivity to a higher etch selectivity at a later stage of the etching process. In some embodiments, the etch selectivity used during a first etching step of the process is less than 4:1 and the etch selectivity used during a second etching step, subsequent to the first step, is greater than 5:1. In some other embodiments, the etch selectivity of the first step is between 2-3:1 and the etch selectivity of the second step is greater than 8:1. Optionally, in still other embodiments a third etching step, performed between the first and second etching steps may be employed where the etch selectivity is between 3-8:1.
    Type: Grant
    Filed: March 15, 2001
    Date of Patent: July 8, 2003
    Assignees: France Telecom, Applied Materials, Inc.
    Inventors: Olivier Joubert, Cedric Monget, Timothy Weidman, Dian Sugiarto, David Mui
  • Patent number: 6589447
    Abstract: Provided is a compound semiconductor single crystal and a fabrication process for a compound semiconductor device capable of forming a prescribed pattern without requirement of many steps. A group V element component in a III-V compound semiconductor single crystal or a group VI element component in the II-VI compound semiconductor single crystal is reduced less than a composition ratio expressed by a chemical formula of a corresponding compound semiconductor single crystal in a pattern-shaped portion.
    Type: Grant
    Filed: December 18, 2000
    Date of Patent: July 8, 2003
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Junya Ishizaki, Nobuhiko Noto
  • Patent number: 6586335
    Abstract: A thin film transistor includes: a substrate, a gate electrode, an insulating film, a semiconductor film, a source electrode, a drain electrode, wherein in at least one electrode of the gate electrode, the source electrode and the drain electrode, end portion of the at least one electrode is tapered in such a manner that a thickness decreases in a direction toward end face of the at least one electrode, the at least one electrode being composed of one electrode material, and prescribed physical property of the at least one electrode being changed in a direction perpendicular to a surface of the at least one electrode, so that an etching rate of the at least one electrode is changed in the direction.
    Type: Grant
    Filed: October 11, 2000
    Date of Patent: July 1, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Kazuyuki Sakata, Kazunori Inoue, Takeshi Morita, Hitoshi Nagata
  • Publication number: 20030109144
    Abstract: A process for selectively etching silicon from a workpiece without etching silicon oxide or silicon nitride. The principal etchant gas is molecular fluorine gas (F2) that is not excited to a plasma state.
    Type: Application
    Filed: December 24, 2002
    Publication date: June 12, 2003
    Applicant: Applied Materials, Inc.
    Inventors: Haruhiro Harry Goto, William R. Harshbarger, Kam S. Law
  • Patent number: 6576152
    Abstract: In a dry etching method for etching a structure obtained by successively depositing, on a substrate, a gate insulating film, a silicon base film, a tungsten film or an alloy film containing tungsten, the dry etching includes a first process of dry-etching the tungsten film or the alloy film including tungsten, and a second process of dry-etching the silicon base film, and the first process employs, as an etching gas, a gas mixture obtained by mixing O2 gas into a gas including at least C and F, with the flow ratio of the O2 gas being 10˜50% by volume percentages. This dry etching method realizes highly-precise dry etching by which a vertical configuration of the poly-metal structure is obtained, and the selection ratio of W with respect to poly-Si can be controlled and, moreover, penetration through the underlying gate oxide film is prevented.
    Type: Grant
    Filed: July 6, 2001
    Date of Patent: June 10, 2003
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Tetsuya Matsutani
  • Patent number: 6573171
    Abstract: In a peripheral circuit region requiring a conductive path between layers at the periphery of a memory cell array region, a conductive path is provided, after removing a silicon nitride film used for self-alignment contact from the area of the contacting portion of a conductor, by forming an interlayer oxide film on the conductor and providing an opening through the interlayer oxide film. Alternatively, a conductive path is provided, after forming the interlayer oxide film on the silicon nitride film used for self-alignment contact, by forming an opening throughout the interlayer oxide film and silicon nitride film.
    Type: Grant
    Filed: January 17, 2001
    Date of Patent: June 3, 2003
    Inventors: Takahisa Eimori, Hiroshi Kimura
  • Patent number: 6562724
    Abstract: A method to simplify the polycide gate structure fabrication processes by using a hardmask 240 to define a pattern of siliciding 260 a silicon layer 230, and then using the silicide 260 to mask removal of the unreacted silicon 220 and 230 in locations where the hardmask 240 had been present. The metal silicide 260 formed in the exposed silicon regions 220 and 230 functions as a self-aligned mask against the silicon 220 and 230 etching. By using a selective etching process between the silicon 220 and 230 and the silicide 260, the silicon 220 and 230 can be etched down to the gate oxide 210 to form the polycide (silicide/polysilicon) gate. The polycide gate formed by this method is particularly advantageous in DRAM applications, but can also be used as a MOS gate in a transistor.
    Type: Grant
    Filed: June 3, 1998
    Date of Patent: May 13, 2003
    Assignee: Texas Instruments Incorporated
    Inventors: Steve Hsia, Yin Hu
  • Patent number: 6559063
    Abstract: A resist mark for measuring the accuracy of overlay of a photomask disposed on a semiconductor wafer, includes a first measurement mark having a first opening, formed on the substrate, an intermediate layer formed on the first measurement mark and in the first opening, a frame-shaped second measurement mark formed on the intermediate layer, and a third measurement mark that is spaced from the second measurement mark toward the outside, formed on the intermediate layer. The second measurement mark has a width which is short enough not to be influenced by a deformation caused by the thermal flow phenomenon.
    Type: Grant
    Filed: February 4, 2002
    Date of Patent: May 6, 2003
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Akiyuki Minami, Satoshi Machida
  • Publication number: 20030080472
    Abstract: The addition of thin coatings (less than and approaching monomolecular coatings) of persistent release materials comprising preferred compounds of the formula:
    Type: Application
    Filed: September 16, 2002
    Publication date: May 1, 2003
    Inventor: Stephen Y. Chou
  • Publication number: 20030080471
    Abstract: The addition of thin coatings (less than and approaching monomolecular coatings) of persistent release materials comprising preferred compounds of the formula:
    Type: Application
    Filed: September 16, 2002
    Publication date: May 1, 2003
    Inventor: Stephen Y. Chou
  • Publication number: 20030077894
    Abstract: A method is provided for forming a conductive wire of a semiconductor device using, for example, a damascene process. A conductive wire, such as a metal wire, is formed, based on a notching phenomenon which occurs when the etching selectivity between a polycrystalline silicon layer and a lower film is approximately 5 to 500:1.
    Type: Application
    Filed: October 8, 2002
    Publication date: April 24, 2003
    Applicant: Hynix Semiconductor Inc.
    Inventor: Sang Wook Ryu
  • Patent number: 6547977
    Abstract: The present disclosure pertains to a method for plasma etching of low k materials, particularly polymeric-based low k materials. Preferably the polymeric-based materials are organic-based materials. The method employs an etchant plasma where the major etchant species are generated from a halogen other than fluorine and oxygen. The preferred halogen is chlorine. The volumetric (flow rate) ratio of the halogen:oxygen in the plasma source gas ranges from about 1:20 to about 20:1. The atomic ratio of the halogen:oxygen preferably falls within the range from about 1:20 to about 20:1. When the halogen is chlorine, the preferred atomic ratio of chlorine:oxygen ranges from about 1:10 to about 5:1. When this atomic ratio of chlorine:oxygen is used, the etch selectivity for the low k material over adjacent oxygen-comprising or nitrogen-comprising layers is advantageous, typically in excess of about 10:1.
    Type: Grant
    Filed: July 5, 2000
    Date of Patent: April 15, 2003
    Assignee: Applied Materials Inc.
    Inventors: Chun Yan, Gary C. Hsueh, Yan Ye, Diana Xiaobing Ma
  • Patent number: 6544887
    Abstract: A method for etching contact openings into a polycide layer including a metal silicide layer and a polysilicon layer comprises providing a substrate that includes a polycide layer, forming a patterned photoresist mask, and etching with a series of plasmas. The etches include a silicide etch, a polycide etch including chlorine gas and nitrogen gas where the nitrogen flow rate is between 20% and about 30% of the sum of the nitrogen flow rate plus the chlorine flow rate, and a poly overetch. A polycide etch with a composition in the specified range will have a polycide selectivity greater than one.
    Type: Grant
    Filed: March 31, 2000
    Date of Patent: April 8, 2003
    Assignee: Lam Research Corporation
    Inventors: Win Chen, Wen-Chiang Tu
  • Patent number: 6541320
    Abstract: A method and structure for forming a notched gate structure having a gate conductor layer on a gate dielectric layer. The gate conductor layer has a first thickness. The inventive method includes patterning a mask over the gate conductor layer, etching the gate conductor layer in regions not protected by the mask to a reduced thickness, (the reduced thickness being less than the first thickness), depositing a passivating film over the gate conductor layer, etching the passivating film to remove the passivating film from horizontal portions of the gate conductor layer (using an anisotropic etch), selectively etching the gate conductor layer to remove the gate conductor layer from all regions not protected by the mask or the passivating film. This forms undercut notches within the gate conductor layer at corner locations where the gate conductor meets the gate dielectric layer. The passivating film comprises a C-containing film, a Si-containing film, a Si—C-containing film or combinations thereof.
    Type: Grant
    Filed: August 10, 2001
    Date of Patent: April 1, 2003
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey Brown, Richard Wise, Hongwen Yan, Qingyun Yang, Chienfan Yu
  • Publication number: 20030034329
    Abstract: The addition of thin coatings (less than and approaching monomolecular coatings) of persistent release materials comprising preferred compounds of the formula:
    Type: Application
    Filed: September 16, 2002
    Publication date: February 20, 2003
    Inventor: Stephen Y. Chou
  • Patent number: 6518192
    Abstract: A two-step etch method for etching a masked layer or layers that include fast and slow etching regions is described. Fast and slow etching regions may arise in a variety of devices, such as microelectrical mechanical system (“MEMS”) applications and mixed signal (i.e. analog and digital) integrated circuits, as well as other integrated circuits and devices. In one embodiment, a first etchant is used to etch through the layer in the fastest etching region, and then a second etchant is used to complete etching through the layer in the slowest etching region.
    Type: Grant
    Filed: December 7, 2001
    Date of Patent: February 11, 2003
    Assignee: Applied Materials Inc.
    Inventors: Anisul Khan, Ajay Kumar, Jeffrey D. Chinn, Dragan Podlesnik
  • Patent number: 6514874
    Abstract: A method of fabricating an integrated circuit can include providing a layer of silicon nitride over a semiconductor substrate where the layer of silicon nitride has a first thickness selected based on a desired size of extensions; providing a layer of photoresist material over the layer of silicon nitride; patterning the layer of photoresist to form photoresist features being separated at the top of the photoresist features by one minimum lithographic feature and etching a portion of the layer of silicon nitride to form a hole for an integrated circuit device feature. The photoresist features include extensions at the bottom of the photoresist features. The extensions define footings. These footings reduce the separation at the bottom of the photoresist features. As such, exposed portions of the layer of silicon nitride are less than one minimum lithographic feature in width.
    Type: Grant
    Filed: June 6, 2001
    Date of Patent: February 4, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: James Jiahua Yu, Bhanwar Singh, Angela T. Hui
  • Publication number: 20030022465
    Abstract: Methods are disclosed for manufacturing semiconductor device dies and for separating dies from a semiconductor wafer, wherein one or more channels are etched in the top of the wafer between individual die areas. Material is then removed from the bottom side of the wafer in order to separate the individual dies. Methods are also disclosed for removing material from the bottom side of the wafer dies, wherein a contoured surface is provided on the die bottom, such as through an etching process. In addition, methods are disclosed for removing material from the bottom side of a wafer, and for securing a semiconductor device to a surface. Semiconductor wafers and dies are also disclosed having contoured bottom surfaces.
    Type: Application
    Filed: July 27, 2001
    Publication date: January 30, 2003
    Inventor: Kurt P. Wachtler
  • Patent number: 6511904
    Abstract: Excessive variation in vertical (i.e., inter-level) capacitance of multi-level metallization semiconductor devices resulting in poor RC time constants of finished devices, and over-etching of borderless vias leading to inter-level short-circuits, are simultaneously eliminated or substantially reduced by selectively providing an etch-resistant masking material at thinner, i.e., recessed, portions of a first, low k gap fill material blanket-deposited over spaced-apart features of a metallization pattern and in the spaces therebetween. The surfaces of thicker, non-recessed portions thereof are etched so as to be substantially co-planar with the feature surfaces and the recessed portions. The etch-resistant mask is then removed, and second and third dielectric layers deposited over the planarized surface.
    Type: Grant
    Filed: August 17, 2000
    Date of Patent: January 28, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Susan H. Chen, Paul R. Besser
  • Patent number: 6507626
    Abstract: A bandpass phase tracker automatically samples at prescribed carrier phases when digitizing a vestigial-sideband intermediate-frequency signal, which VSB I-F signal is modulated in accordance with a baseband symbol code of a prescribed symbol frequency. Heterodyning circuitry mixes oscillations from a local oscillator with the VSB I-F signal received from the I-F amplifier to generate an analog low-frequency heterodyne signal offset from zero frequency. The heterodyne signal is digitized in accordance with a first sampling clock signal to supply input signal for digital demodulation circuitry that demodulates the VSB I-F signal to supply real and imaginary components of a demodulated signal at baseband. The real component of the demodulated signal is supplied to an equalizer and symbol decoded; the imaginary component controls the frequency and phase of the local oscillator.
    Type: Grant
    Filed: September 15, 1999
    Date of Patent: January 14, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Allen LeRoy Limberg
  • Patent number: 6503838
    Abstract: A method of fabricating an integrated circuit having active components, conductors and isolation regions on a substrate is disclosed, including forming a portion of an isolation region to expose a first area of the substrate, depositing a mask layer over the integrated circuit including the first area, forming the mask layer to expose a second area of the substrate within the first area, converting a portion of the substrate to a selectively etchable material in an area subjacent to the second area and extending only partially to the bottom surface of the substrate, selectively etching this etchable material to form a void, removing the mask layer to expose the isolation region, depositing a conductive layer over all exposed surfaces of the substrate comprising the void and the isolation region, depositing a dielectric layer over the conductive layer extending at least to the height of the isolation region, polishing the surface of the dielectric layer until the surface is planar and the top surface of the is
    Type: Grant
    Filed: October 2, 2000
    Date of Patent: January 7, 2003
    Assignee: Texas Instruments Incorporated
    Inventor: Leland S. Swanson
  • Patent number: 6498089
    Abstract: A semiconductor integrated circuit device, having: a plurality of semiconductor elements formed in a central circuit area of a semiconductor chip; a plurality of insulating layers formed on the semiconductor chip; cavities for forming wiring layers of a multi-layer structure, each of the cavities in each wiring layer having a via hole and a wiring pattern trench; wiring layers of the multi-layer structure including a via conductor filled in the via hole and a wiring pattern filled in the wiring pattern trench; moisture-proof ring trenches of a multi-layer structure corresponding to the cavities for forming the wiring layers of the multi-layer structure, the moisture-proof ring trenches surrounding the circuit area in a loop-shape and formed through the insulating layers, a width of each of the moisture-proof ring trenches corresponding to a corresponding one or ones of the via holes being set smaller than a minimum diameter of the via holes; and a conductive moisture-proof ring filled in a corresponding one o
    Type: Grant
    Filed: September 19, 2001
    Date of Patent: December 24, 2002
    Assignee: Fujitsu Limited
    Inventor: Daisuke Komada
  • Patent number: 6489248
    Abstract: A substrate having a patterned mask and exposed openings is provided in a process chamber having process electrodes. In a plasma ignition stage, a process gas is provided in the process chamber and is energized by maintaining the process electrodes at a plasma ignition bias power level. In an etch-passivating stage, an etch-passivating material is formed on at least portions of the substrate by maintaining the process electrodes at an etch-passivating bias power level. In an etching stage, the exposed openings on the substrate are etched by maintaining the process electrodes at an etching bias power level.
    Type: Grant
    Filed: August 23, 2001
    Date of Patent: December 3, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Luke Zhang, Ruiping Wang, Ida Ariani Adisaputro, Kwang-Soo Kim