Liquid Phase Etching Patents (Class 438/745)
  • Patent number: 7932116
    Abstract: A manufacturing method for a hollow sealing structure, includes, a process for filling a recessed portion in a principal surface of a substrate with a first sacrificial layer, a process for forming a functional element portion on the principal surface of the substrate, a process for forming a second sacrificial layer on the functional element portion so as to be connected to a part of the first sacrificial layer, a process for forming a covering portion over respective surfaces of the first and second sacrificial layers, a process for circulating a fluid for sacrificial layer removal through an opening in the covering portion in contact with the first sacrificial layer, thereby removing the first and second sacrificial layers, and a process for closing the opening.
    Type: Grant
    Filed: May 29, 2008
    Date of Patent: April 26, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Susumu Obata, Tatsuya Ohguro
  • Publication number: 20110092074
    Abstract: A liquid agent for the surface treatment of monocrystalline wafers, which contains an alkaline etching agent and also at least one low-volatile organic compound. Systems of this type can be used both for the cleaning, damage etch and texturing of wafer surfaces in a single etching step and exclusively for the texturing of silicon wafers with different surface quality, whether it now be wire-sawn wafers with high surface damage or chemically polished surfaces with minimum damage density.
    Type: Application
    Filed: June 2, 2010
    Publication date: April 21, 2011
    Applicant: Fraunhofer-Gesellschaft zur Forderung der angewandten Forschung e.V.
    Inventors: Kuno Mayer, Mark Schumann, Daniel Kray, Teresa Orellana Peres, Jochen Rentsch, Martin Zimmer, Elias Kirchgässner, Eva Zimmer, Daniel Biro, Arpad Mihai Rostas, Filip Granek
  • Patent number: 7927498
    Abstract: A solar cell and a method of texturing a solar cell are disclosed. The method includes coating an ink containing metal particles on a surface of a substrate, drying the ink to attach the metal particles to the surface of the substrate, and differentially etching the surface of the substrate using the metal particles as a catalyst to form an uneven portion.
    Type: Grant
    Filed: February 13, 2009
    Date of Patent: April 19, 2011
    Assignee: LG Electronics Inc.
    Inventors: Younggu Do, Junyong Ahn, Gyeayoung Kwag
  • Patent number: 7928446
    Abstract: A Group-III nitride semiconductor substrate having a flat surface with a dangling bond density of higher than 14.0 nm?2 is produced by cleaning the surface having a dangling bond density of higher than 14.0 nm?2 with a cleaning agent containing an ammonium salt.
    Type: Grant
    Filed: July 8, 2008
    Date of Patent: April 19, 2011
    Assignee: Mitsubishi Chemical Corporation
    Inventors: Kenji Fujito, Hirotaka Oota, Shuichi Kubo
  • Patent number: 7928520
    Abstract: A microfabricated structure that includes a first layer of material on a substrate, and a second layer of material over the first layer that forms an encapsulated cavity, and a structural support layer added to the second layer. Openings can be formed in the cavity, and the cavities can be layered side by side, vertically stacked with interconnections via the openings, and a combination of both can be used to construct stacked arrays with interconnections throughout.
    Type: Grant
    Filed: January 12, 2010
    Date of Patent: April 19, 2011
    Assignee: STMicroelectronics, Inc.
    Inventors: Frank Bryant, Murray Robinson
  • Patent number: 7927500
    Abstract: The use of an ammonium hydroxide spike to a hot tetra methyl ammonium hydroxide (TMAH) solution to form an insitu poly oxide decapping step in a polysilicon (poly) etch process, results in a single step rapid poly etch process having uniform etch initiation and a high etch selectivity, that may be used in manufacturing a variety of electronic devices such as integrated circuits (ICs) and micro electro-mechanical (MEM) devices. The etching solution is formed by adding 35% ammonium hydroxide solution to a hot 12.5% TMAH solution at about 70° C. at a rate of 1% by volume, every hour. Such an etch solution and method provides a simple, inexpensive, single step self initiating poly etch that has etch stop ratios of over 200 to 1 over underlying insulator layers and TiN layers.
    Type: Grant
    Filed: March 22, 2007
    Date of Patent: April 19, 2011
    Assignee: Micron Technology, Inc.
    Inventor: Kevin Shea
  • Publication number: 20110086499
    Abstract: A method for removing a photoresist is disclosed. First, a substrate including a patterned photoresist is provided. Second, an ion implantation is carried out on the substrate. Then, a non-oxidative pre-treatment is carried out on the substrate. The non-oxidative pre-treatment provides hydrogen, a carrier gas and plasma. Later, a photoresist-stripping step is carried out so that the photoresist can be completely removed.
    Type: Application
    Filed: October 13, 2009
    Publication date: April 14, 2011
    Inventors: Chin-Cheng Chien, Chan-Lon Yang, Chiu-Hsien Yeh
  • Patent number: 7923275
    Abstract: A surface emitting laser includes a lower Bragg reflector, a resonator and an upper Bragg reflector. The resonator is provided on top of the lower Bragg reflector and includes an active layer, a lower semiconductor layer and an upper semiconductor layer. The upper Bragg reflector is provided on top of the resonator, and includes a plurality of semiconductor layers. In this surface emitting laser, the uppermost layer among the plurality of semiconductor layers in the lower Bragg reflector forms an air gap, which is larger than the aperture of the first insulating layer, while the lowermost layer among the plurality of semiconductor layers in the upper Bragg reflector forms an air gap, which is larger than the aperture of the second insulating layer.
    Type: Grant
    Filed: August 20, 2009
    Date of Patent: April 12, 2011
    Assignee: International Business Machines Corporation
    Inventor: Shigeru Nakagawa
  • Patent number: 7923375
    Abstract: A method for manufacturing a semiconductor device includes forming a photo-resist pattern above a first film, implanting a predetermined dopant that increases an etching rate of the first film into the first film using the photo-resist pattern as a mask, thereby forming an implantation layer in the first film, and etching a first portion of the first film, which is at least a part of the implantation layer, using the photo-resist pattern as a mask.
    Type: Grant
    Filed: August 20, 2007
    Date of Patent: April 12, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Keisuke Kikutani
  • Patent number: 7919343
    Abstract: A method for surface treatment of a group III nitride crystal includes the steps of lapping a surface of a group III nitride crystal using a hard abrasive grain with a Mohs hardness higher than 7, and abrasive-grain-free polishing the lapped surface of the group III nitride crystal using a polishing solution without containing abrasive grain, and the polishing solution without containing abrasive grain has a pH of not less than 1 and not more than 6, or not less than 8.5 and not more than 14. Accordingly, the method for surface treatment of a group III nitride crystal can be provided according to which hard abrasive grains remaining at the lapped crystal can be removed to reduce impurities at the crystal surface.
    Type: Grant
    Filed: April 29, 2009
    Date of Patent: April 5, 2011
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Keiji Ishibashi, Naoki Matsumoto, Masato Irikura
  • Publication number: 20110076855
    Abstract: There is provided a resin laminate having a layer construction of a first inorganic material layer/insulating layer/second inorganic material layer or a layer construction of an inorganic material layer/insulating layer, wherein the insulating layer has a multi-layer structure of two or more resin layers of a core insulating layer and an adhesive insulating layer. In this case, the resin laminate has the adhesive insulating layer which can realize optimal etching, is suitable for etching by a wet process, and has excellent adhesion. At least one of the layers constituting the insulating layer is formed of a polyimide resin which comprises repeating units represented by formula (1) and has a glass transition point of 150 to 360° C. and is dissolvable in a basic solution at a rate of more than 3 ?m/min, preferably more than 5 ?m/min, and most preferably more than 8 ?m/min.
    Type: Application
    Filed: October 25, 2010
    Publication date: March 31, 2011
    Applicant: Dai Nippon Printing Co., Ltd.
    Inventors: Katsuya SAKAYORI, Shigeki KAWANO, Hiroko AMASAKI, Hidetsugu TAZAWA, Kazunari IKEDA, Kouhei OHNO
  • Publication number: 20110076854
    Abstract: According to a method of manufacturing a vertical-cavity surface-emitting semiconductor laser element in accordance with the present invention, a process of wet etching is performed for a part that is oxidized in a layer of an AlGaAs (42) which configures a layer having an index of refraction as lower and in which a composition of aluminum is designed to be as higher comparing to the other pairs of layers in a DBR mirror at an upper side that are formed at an inner side of a mesa post (38). And then a process of filling up again is performed with making use of a layer of polyimide (26). Moreover, an etchant that includes such as a hydrofluoric acid or a buffered hydrofluoric acid or an aqueous ammonia or the like is made use in order to perform such the process of wet etching.
    Type: Application
    Filed: September 30, 2009
    Publication date: March 31, 2011
    Applicant: FURUKAWA ELECTRIC CO., LTD.
    Inventors: Kageyama Takeo, Norihiro Iwai, Koji Hiraiwa, Yoshihiko Ikenaga
  • Patent number: 7914623
    Abstract: A combination of a dry oxidizing, wet etching, and wet cleaning processes are used to remove particle defects from a wafer after ion implantation, as part of a wafer bonding process to fabricate a SOI wafer. The particle defects on the topside and the backside of the wafer are oxidized, in a dry strip chamber, with an energized gas. In a wet clean chamber, the backside of the wafer is treated with an etchant solution to remove completely or partially a thermal silicon oxide layer, followed by exposure of the topside and the backside to a cleaning solution. The cleaning solution contains ammonium hydroxide, hydrogen peroxide, DI water, and optionally a chelating agent, and a surfactant. The wet clean chamber is integrated with the dry strip chamber and contained in a single wafer processing system.
    Type: Grant
    Filed: October 24, 2007
    Date of Patent: March 29, 2011
    Assignee: Applied Materials, Inc.
    Inventors: James S. Papanu, Han-Wen Chen, Brian J. Brown, Steven Verhaverbeke
  • Patent number: 7915178
    Abstract: The present invention provides methods of protecting a surface of an aluminum nitride substrate. The substrate with the protected surface can be stored for a period of time and easily activated to be in a condition ready for thin film growth or other processing. In certain embodiments, the method of protecting the substrate surface comprises forming a passivating layer on at least a portion of the substrate surface by performing a wet etch, which can comprise the use of one or more organic compounds and one or more acids. The invention also provides aluminum nitride substrates having passivated surfaces.
    Type: Grant
    Filed: July 30, 2008
    Date of Patent: March 29, 2011
    Assignee: North Carolina State University
    Inventors: Ramon R. Collazo, Zlatko Sitar, Rafael Dalmau
  • Patent number: 7910484
    Abstract: A method of forming a TEOS oxide layer over an nitrogen doped silicon carbide or nitrogen doped hydrogenated silicon carbide layer formed on a substrate. The method includes forming the nitrogen doped silicon carbide or nitrogen doped hydrogenated silicon carbide layer on a top surface and a top side beveled edge proximate to the top surface of a substrate; removing or preventing formation of a carbon-rich layer on a bottom side bevel edge region proximate to a bottom surface of the substrate or converting the carbon-rich layer to nitrogen doped silicon carbide or nitrogen doped hydrogenated silicon carbide; and forming the TEOS oxide layer on the top surface, the top side beveled edge and the bottom side bevel edge region of the substrate.
    Type: Grant
    Filed: January 11, 2008
    Date of Patent: March 22, 2011
    Assignee: International Business Machines Corporation
    Inventors: Chester T. Dziobkowski, Thomas F. Houghton, Emily Kinser, Darryl D. Restaino, Yun-Yu Wang
  • Patent number: 7910442
    Abstract: A method including partially etching a first portion of a first layer, wherein the first layer is a conductive layer, is provided. The method further includes removing at least a portion of a second layer. The method further includes completing etching of said first portion of the conductive layer so that said first portion of the conductive layer is removed. The method further includes completing formation of the semiconductor device.
    Type: Grant
    Filed: July 24, 2007
    Date of Patent: March 22, 2011
    Assignee: Freescale Semiconductor, Inc.
    Inventors: William J. Taylor, Jr., Cristiano Capasso, Srikanth B. Samavedam, James K. Schaeffer
  • Patent number: 7906437
    Abstract: A method for manufacturing surgical blades from either a crystalline or poly-crystalline material, preferably in the form of a wafer, is disclosed. The method includes preparing the crystalline or poly-crystalline wafers by mounting them and machining trenches into the wafers. The methods for machining the trenches, which form the bevel blade surfaces, include a diamond blade saw, laser system, ultrasonic machine, and a hot forge press. The wafers are then placed in an etchant solution which isotropically etches the wafers in a uniform manner, such that layers of crystalline or poly-crystalline material are removed uniformly, producing single or double bevel blades. Nearly any angle can be machined into the wafer which remains after etching. The resulting radii of the blade edges is 5-500 nm, which is the same caliber as a diamond edged blade, but manufactured at a fraction of the cost.
    Type: Grant
    Filed: July 31, 2006
    Date of Patent: March 15, 2011
    Assignee: Beaver-Visitec International (US), Inc.
    Inventors: Joseph Francis Keenan, Vadim Mark Daskal, James Joseph Hughes
  • Patent number: 7906438
    Abstract: An object of the present invention is to provide a single wafer etching apparatus realizing a high flatness of wafers and an increase in productivity thereof. In the single wafer etching apparatus, a single thin disk-like wafer sliced from a silicon single crystal ingot is mounted on a wafer chuck and spun thereon, and an overall front surface of the wafer is etched with an etching solution supplied thereto by centrifugal force generated by spinning the wafer 11. The singe wafer etching apparatus includes a plurality of supply nozzles 26, 27 capable of discharging the etching solution 14 from discharge openings 26a, 27a onto the front surface of the wafer 11, nozzle-moving devices each capable of independently moving the plurality of supply nozzles 28, 29, and an etching solution supplying device 30 for supplying the etching solution 14 to each of the plurality of supply nozzles and discharging the etching solution 14 from each of the discharge openings to the front surface of the wafer 11.
    Type: Grant
    Filed: January 31, 2007
    Date of Patent: March 15, 2011
    Assignee: Sumco Corporation
    Inventors: Sakae Koyata, Tomohiro Hashii, Katsuhiko Murayama, Kazushige Takaishi, Takeo Katoh
  • Publication number: 20110059618
    Abstract: This invention relates to a method for texturing a silicon surface and silicon wafers made by the method, where the method comprises immersing the wafers in an alkaline solution at pH>10, and applying a potential difference between the wafer and a platinum electrode in the electrolyte in the range of +10 to +85 V.
    Type: Application
    Filed: March 12, 2009
    Publication date: March 10, 2011
    Applicant: Norut Narvik AS
    Inventors: Ingemar Olefjord, Timothy C. Lommasson
  • Patent number: 7901588
    Abstract: An etching process is employed to selectively pattern the top magnetic film layer, the tunnel barrier, and the pinned bottom magnetic layer of a magnetic thin film structure. The pinned bottom magnetic film layer has an antiferromagnetic layer or a Ru spacer formed thereunder. The etching process employs various etching steps that selectively remove various layers of the magnetic thin film structure stopping on the antiferromagnetic layer or the Ru spacer. The progress of this etching process can be monitored by measuring the electrochemical potential difference of a part or wafer containing a magnetic structure with respect to a reference electrode simultaneously with the selective etching process.
    Type: Grant
    Filed: July 16, 2007
    Date of Patent: March 8, 2011
    Assignee: International Business Machines Corporation
    Inventors: Eugene J. O'Sullivan, Daniel Worledge
  • Patent number: 7902082
    Abstract: Methods of forming integrated circuit devices include forming a field effect transistor having a gate electrode, sacrificial nitride spacers on opposing sidewalls of the gate electrode and source/drain regions, which are self-aligned to the sacrificial nitride spacers, on a semiconductor substrate. The sacrificial nitride spacers are selectively removed using a diluted hydrofluoric acid solution having a nitride-to-oxide etching selectivity in excess of one. In order to increase charge carrier mobility within a channel of the field effect transistor, a stress-inducing electrically insulating layer is formed on opposing sidewalls of the gate electrode. This insulating layer is configured to induce a net tensile stress (NMOS) or compressive stress (PMOS) in the channel.
    Type: Grant
    Filed: September 20, 2007
    Date of Patent: March 8, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang Jine Park, Richard O. Henry, Yong Siang Tan, O Sung Kwon, Oh Jung Kwon
  • Patent number: 7902077
    Abstract: A semiconductor device manufacturing method includes: forming an etching mask having a predetermined circuit pattern on a surface of an etching target film disposed on a semiconductor substrate; etching the etching target film through the etching mask to form a groove or hole in the etching target film; removing the etching mask by a process including at least a process using an ozone-containing gas; and recovering damage of the etching target film caused before or in said removing the etching mask, while supplying a predetermined recovery gas.
    Type: Grant
    Filed: November 29, 2006
    Date of Patent: March 8, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Ryuichi Asako, Kaoru Maekawa, Yasushi Fujii
  • Publication number: 20110053345
    Abstract: An object is to provide a method suitable for reprocessing a semiconductor substrate which is reused to manufacture an SOI substrate. A semiconductor substrate is reprocessed in the following manner: etching treatment is performed on a semiconductor substrate in which a projection including a damaged semiconductor region and an insulating layer exists in a peripheral portion, whereby the insulating layer is removed; and etching treatment is performed on the semiconductor substrate with the use of a mixed solution including a substance that oxidizes a semiconductor material included in the semiconductor substrate, a substance that dissolves the oxidized semiconductor material, and a substance that controls oxidation speed of the semiconductor material and dissolution speed of the oxidized semiconductor material, whereby the damaged semiconductor region is selectively removed with a non-damaged semiconductor region left.
    Type: Application
    Filed: August 19, 2010
    Publication date: March 3, 2011
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Kazuya HANAOKA, Keitaro IMAI
  • Patent number: 7898089
    Abstract: The present invention provides an apparatus and method for use in processing semiconductor workpieces. The new apparatus and method allows for the production of thinner workpieces that at the same time remain strong. Particularly, a chuck is provided that includes a body, a retainer removeably attached to the body and a seal forming member. When a workpiece is placed on the chuck body and the retainer is engaged to the body, a peripheral portion of the back side of the workpiece is covered by the retainer while an interior region of the back side of the workpiece is exposed. The exposed back side of the workpiece is then subjected to a wet chemical etching process to thin the workpiece and form a relatively thick rim comprised of semiconductor material at the periphery of the workpiece. The thick rim or hoop imparts strength to the otherwise fragile, thinned semiconductor workpiece.
    Type: Grant
    Filed: October 26, 2007
    Date of Patent: March 1, 2011
    Assignee: Semitool, Inc.
    Inventors: Kert L. Dolechek, Raymon F. Thompson
  • Publication number: 20110042046
    Abstract: An integrated circuit arrangement and method of fabricating the integrated circuit arrangement is provided. At least one integrated electronic component is arranged at a main area of a substrate. The component is arranged in the substrate or is isolated from the substrate by an electrically insulating region. Main channels are formed in the substrate and arranged along the main area. Each main channel is completely surrounded by the substrate transversely with respect to a longitudinal axis. Transverse channels are arranged transversely with respect to the main channels. Each transverse channel opens into at least one main channel. More than about ten transverse channels open into a main channel.
    Type: Application
    Filed: November 5, 2010
    Publication date: February 24, 2011
    Inventors: Volker Lehmann, Reinhard Stengl, Herbert Schäfer
  • Publication number: 20110039406
    Abstract: Embodiments of the invention include methods of etching nanodots, to methods of removing nanodots from substrates, and to methods of fabricating integrated circuit devices. In one embodiment, a method of etching nanodots that include a late transition metal includes exposing such nanodots to a gas comprising a phosphorus and halogen-containing compound and an oxidizing agent. After the exposing, the nanodots which are remaining and were exposed are etched (either partially or completely) with an aqueous solution comprising HF.
    Type: Application
    Filed: October 28, 2010
    Publication date: February 17, 2011
    Applicant: Micron Technology, Inc.
    Inventor: Eugene P. Marsh
  • Patent number: 7887636
    Abstract: A substrate dryer includes, among other things, means for generating isopropyl alcohol bubbles, and a vibrator to atomize stored isopropyl alcohol. A heater may be provided to heat pumped isopropyl alcohol, as wells as a spray nozzle to spray the heated IPA to the vibrator. It is possible to increase the concentration of the isopropyl alcohol supplied for the purpose of drying the substrate. Improved substrate drying is achieved.
    Type: Grant
    Filed: January 11, 2006
    Date of Patent: February 15, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chang-Hyeon Nam, Seung-Kun Lee
  • Patent number: 7888270
    Abstract: The invention discloses etching method for the nitride semiconductor. Firstly dielectric layer is formed on gallium nitride. The line pattern or dot pattern is formed on the dielectric layer by using the exposure, development, and etching processes. The dielectric layer is used as the mask for the epitaxial lateral overgrowth of follow-up gallium nitride layer. The thick gallium nitride film is grown on the dielectric layer. Then the wet etching process is used to remove the dielectric layer, and the thick gallium nitride film on the dielectric layer is etched to form the specific shape as required.
    Type: Grant
    Filed: September 4, 2007
    Date of Patent: February 15, 2011
    Assignee: National Chiao Tung University
    Inventors: Wei-I Lee, Hsin-Hsiung Huang, Hung-Yu Zeng
  • Patent number: 7888685
    Abstract: Processes for the purification of silicon carbide structures, including silicon carbide coated silicon carbide structures, are disclosed. The processes described can reduce the amount of iron contamination in a silicon carbide structure by 100 to 1000 times. After purification, the silicon carbide structures are suitable for use in high temperature silicon wafer processing.
    Type: Grant
    Filed: July 27, 2004
    Date of Patent: February 15, 2011
    Assignee: MEMC Electronic Materials, Inc.
    Inventors: Larry Wayne Shive, Brian Lawrence Gilmore
  • Patent number: 7888265
    Abstract: This method for assaying copper in silicon wafers includes the steps of: forming a polysilicon layer on the surface of a p-type silicon wafer having the same characteristics as the silicon wafers being assayed; heat treating the p-type silicon wafer after it has been polished; dissolving the polysilicon layer on the heat-treated p-type silicon wafer with a mixed acid composed of at least hydrofluoric acid and nitric acid; and quantitatively determining the copper components within the mixed acid following dissolution of the polysilicon layer.
    Type: Grant
    Filed: February 20, 2007
    Date of Patent: February 15, 2011
    Assignee: Sumco Corporation
    Inventors: Katsuya Hirano, Mohammad B. Shabani
  • Patent number: 7884029
    Abstract: A solar cell having an improved structure of rear surface includes a p-type doped region, a dense metal layer, a loose metal layer, at least one bus bar opening, and solderable material on or within the bus bar opening. The solderable material contacts with the dense aluminum layer. The improved structure in rear surface increases the light converting efficiency, and provides a good adhesion between copper ribbon and solar cell layer thereby providing cost advantages and reducing the complexity in manufacturing. A solar module and solar system composed of such solar cell are also disclosed.
    Type: Grant
    Filed: June 9, 2009
    Date of Patent: February 8, 2011
    Assignee: DelSolar Co., Ltd.
    Inventors: Shih-Cheng Lin, Wei-Chih Chang, Yi-Chin Chou, Chorng-Jye Huang, Pin-Sheng Wang
  • Patent number: 7883635
    Abstract: A substrate treating apparatus for treating substrates with a treating solution having a mixture of a chemical and a diluent.
    Type: Grant
    Filed: March 11, 2009
    Date of Patent: February 8, 2011
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventor: Hiroaki Takahashi
  • Patent number: 7884028
    Abstract: A method of removing material layer is disclosed. First, a semiconductor substrate is fixed on a rotating platform, where a remnant material layer is included on the surface of the semiconductor substrate. Afterward, an etching process is carried out. In the etching process, the rotating platform is rotated, and an etching solution is sprayed from a center region and a side region of the rotating platform toward the semiconductor substrate until the material layer is removed. Since the semiconductor substrate is etched by the etching solution sprayed from both the center region and the side region of the rotating platform, the etching uniformity of the semiconductor substrate is improved.
    Type: Grant
    Filed: April 10, 2007
    Date of Patent: February 8, 2011
    Assignee: United Microelectronics Corp.
    Inventors: Yi-Wei Chen, Chun-Chieh Chang, Tzung-Yu Hung, Yu-Lan Chang, Chao-Ching Hsieh
  • Patent number: 7884027
    Abstract: A method of manufacturing a semiconductor device includes subjecting a semiconductor substrate having an aluminum film formed thereabove to a processing to at least partially expose a surface of the aluminum film, and carrying out a surface processing to remove an after-processing residue that remains on the exposed surface of the aluminum film. The surface processing includes treating the exposed surface of the aluminum film with a first liquid chemical containing an anion component and then with an alkaline, second liquid chemical.
    Type: Grant
    Filed: October 31, 2006
    Date of Patent: February 8, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yoshihiro Uozumi, Takashi Hirayama, Akira Kugita
  • Publication number: 20110027933
    Abstract: Methods of texturing and manufacturing a solar cell are provided. The method of texturing the solar includes texturing a surface of a substrate of the solar cell using a wet etchant, and the wet etchant includes a surfactant.
    Type: Application
    Filed: October 15, 2010
    Publication date: February 3, 2011
    Inventors: Juhwa Cheong, Sungjin Kim, Jiweon Jeong, Younggu Do
  • Patent number: 7879735
    Abstract: A cleaning solution and methods of fabricating semiconductor devices using the same are provided. A cleaning solution used for cleaning a silicon surface and methods of fabricating a semiconductor device using the same are also provided. The cleaning solution may include 0.01 to 1 wt % of fluoric acid, 20 to 50 wt % of oxidizer and 50 to 80 wt % of water. The cleaning solution may further include 1 to 20 wt % of acetic acid. The cleaning solution may be used to clean a silicon surface exposed during fabrication processes of a semiconductor device. The cleaning solution may reduce damage of other material layers (e.g., a tungsten layer or a silicon oxide layer) and enable the silicon surface to be selectively etched.
    Type: Grant
    Filed: January 23, 2007
    Date of Patent: February 1, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Yong Kim, Chang-Ki Hong, Woo-Gwan Shim
  • Patent number: 7879724
    Abstract: A method of manufacturing a semiconductor device has polishing a film, and cleaning a polished surface by carrying out a first exposing the polished surface to an acidic first cleaning fluid having an effect of etching at least a partial region of the polished surface, and a second exposing the polished surface to an alkaline second cleaning fluid after the first exposing.
    Type: Grant
    Filed: March 20, 2008
    Date of Patent: February 1, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Naoki Idani
  • Patent number: 7879734
    Abstract: A nanostructure is a porous body comprising a plurality of pillar-shaped pores and a region surrounding them, the region being an oxide amorphous region formed so as to contain C, Si, Ge or a material of a combination of them. Such a nanostructure can be used as a functional material in light emitting devices, optical devices and microdevices. It can also be used as a filter.
    Type: Grant
    Filed: April 24, 2006
    Date of Patent: February 1, 2011
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kazuhiko Fukutani, Tohru Den
  • Publication number: 20110021032
    Abstract: The present invention relates to a wet acid etchant for wet acid etching of intrinsic, n-doped or p-doped Al1-x-zGaxInzAs1-ySby with 0<x<1, 0<y<1, 0?z<1 and 0<x+z<1, a process for wet acid etching of intrinsic, n-doped or p-doped Al1-x-zGaxInzAs1-ySby with 0<x<1, 0<y<1 and 0?z<1 and 0<x+z<1, and a semiconductor structure prepared by wet acid etching of Al1-x-zGaxInzAs1-ySby with 0<x<1, 0<y?1, 0?z<1 and 0<x+z<1. The etchant comprises: organic acid; oxidizing agent; hydrofluoric acid.
    Type: Application
    Filed: January 12, 2010
    Publication date: January 27, 2011
    Inventors: Renato BUGGE, Bjørn-Ove FIMLAND
  • Patent number: 7875558
    Abstract: The present invention is directed to a microetching composition comprising a source of cupric ions, acid, a nitrile compound, and a source of halide ions. Other additive, including organic solvents, a source of molybdenum ions, amines, polyamines, and acrylamides may also be included in the composition of the invention. The present invention is also directed to a method of microetching copper or copper alloy surfaces to increase the adhesion of the copper surface to a polymeric material, comprising the steps of contacting a copper or copper alloy surface with the composition of the invention, and thereafter bonding the polymeric material to the copper or copper alloy surface.
    Type: Grant
    Filed: August 14, 2007
    Date of Patent: January 25, 2011
    Inventors: Kesheng Feng, Nilesh Kapadia, Steven A. Castaldi
  • Patent number: 7875515
    Abstract: A method for manufacturing a capacitor of a semiconductor device includes: forming an interlayer insulating film including a contact plug over a semiconductor substrate; forming a first stack film including a capacitor oxide film and a nitride film over the interlayer insulating film; etching the first stack film to form a first stack pattern and a contact hole that exposes the contact plug; forming a lower electrode in the contact hole; forming a capping oxide film continuously over the first stack pattern to form a bridge connecting the neighboring first stack patterns; forming an etching barrier film including cavities over the capping oxide film; performing a blanket etching process onto the etching barrier film including cavities until the capacitor oxide film is exposed to form a nitride film pattern; and removing the exposed capacitor oxide film.
    Type: Grant
    Filed: June 30, 2008
    Date of Patent: January 25, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sang Man Bae, Hyoung Ryeun Kim
  • Patent number: 7875557
    Abstract: A semiconductor substrate treating method is disclosed that can selectively remove contaminants or unnecessary substances present on the surface of a semiconductor substrate. Also disclosed are a semiconductor component of enhanced reliability produced by this method and an electronic appliance incorporating the semiconductor component. The semiconductor substrate treating method comprises the step of treating a semiconductor substrate with a treating fluid containing NH4OH and HF wherein the relationships 0.30?X/Y?0.78 and 0.03?Y?6.0 are satisfied, where X represents a concentration [mol/L] of NH4OH in the treating fluid and Y represents a concentration [mol/L] of HF in the treating fluid. Preferably, the treating fluid is substantially free from H2O2. The semiconductor substrate has a surface, at least a part of which is composed of high melting point metal.
    Type: Grant
    Filed: December 20, 2005
    Date of Patent: January 25, 2011
    Assignees: Seiko Epson Corporation, Kabushiki Kaisha Toshiba
    Inventors: Hiroyuki Matsuo, Kunihiro Miyazaki, Toshiki Nakajima
  • Publication number: 20110014771
    Abstract: A method of making a semiconductor device includes providing an insulating layer containing a plurality of openings, forming a first conductivity type semiconductor layer in the plurality of openings, forming a second conductivity type semiconductor layer over the first conductivity type semiconductor layer in the plurality of openings, and selectively etching the second conductivity type semiconductor layer using an upper surface of the first conductivity type semiconductor layer as a stop to form a recess in the plurality of openings.
    Type: Application
    Filed: January 25, 2010
    Publication date: January 20, 2011
    Inventors: Vance Dunton, Raghuveer S. Makala, Michael Chan
  • Patent number: 7871936
    Abstract: A reduction in contaminating impurities in a TFT, and a TFT which is reliable, is obtained in a semiconductor device which uses the TFT. By removing contaminating impurities residing in a film interface of the TFT using a solution containing fluorine, a reliable TFT can be obtained.
    Type: Grant
    Filed: July 16, 2008
    Date of Patent: January 18, 2011
    Assignee: Semiconductor Energy laboratory Co., Ltd.
    Inventors: Masaya Kadono, Shunpei Yamazaki, Yukio Yamauchi, Hidehito Kitakado
  • Patent number: 7867908
    Abstract: A method of fabricating a substrate includes following steps. First, a metallic panel having a first surface and a second surface is provided. A first half-etching process is carried out to etch the first surface of the metallic panel to a first depth so that a first patterned metallic layer is formed on the first surface. Next, a first insulating material is deposited into gaps in the first patterned metallic layer to form a first insulator. Thereafter, a second half-etching process is carried out to etch the second surface of the metallic panel to a second depth and expose at least a portion of the first insulator so that a second patterned metallic layer is formed on the second surface. The first depth and the second depth together equal the thickness of the metallic panel.
    Type: Grant
    Filed: April 13, 2009
    Date of Patent: January 11, 2011
    Assignee: Unimicron Technology Corp.
    Inventors: Tzyy-Jang Tseng, Chih-Ming Chang, Cheng-Po Yu, Chung W. Ho
  • Patent number: 7867892
    Abstract: The present invention relates a packaging carrier with high heat dissipation for packaging a chip, comprising: a carrier body, an interfacial metal layer, at least one diamond-like carbon thin film, a plated layer, and an electrode layer. Herein, the packaging carrier further comprises through holes. The present invention further discloses a method for manufacturing the aforementioned packaging carrier, comprising: providing a carrier body; forming an interfacial metal layer on the upper surface of the carrier body; forming a diamond-like carbon thin film on the interfacial metal layer; forming a plated layer on the diamond-like carbon thin film; forming an electrode layer on the lower surface of the carrier body; and forming through holes extending through all or part of the aforementioned elements. The present invention uses a diamond-like carbon thin film and through holes for heat dissipation in three dimensions to improve heat dissipation of an electronic device.
    Type: Grant
    Filed: February 20, 2008
    Date of Patent: January 11, 2011
    Assignee: Kinik Company
    Inventors: Ming-Chi Kan, Shih-Yao Huang, Shao-Chung Hu
  • Patent number: 7867837
    Abstract: A polysilicon layer provided for a polysilicon electrode (8) is patterned by means of a resist mask (5) and an auxiliary layer (4) made of a material that is suitable as an antireflection layer, the auxiliary layer (4) being provided with lateral hollowed-out recesses in such a way that the polysilicon electrode is formed with rounded edges (7) during etching. The auxiliary layer is preferably produced from a soluble material and with a thickness of 70 nm to 80 nm. A base layer (2) may be provided as a gate dielectric of memory cell transistors and additionally as an etching stop layer.
    Type: Grant
    Filed: January 13, 2006
    Date of Patent: January 11, 2011
    Assignee: Austriamicrosystems AG
    Inventors: Franz Bermann, Günther Koppitsch, Sven Schroeter
  • Patent number: 7862658
    Abstract: An etching/cleaning apparatus is provided, which makes it possible to effectively remove an unnecessary material or materials existing on a semiconductor wafer without damaging the device area with good controllability. The apparatus comprises (a) a rotating means for holding a semiconductor wafer and for rotating the wafer in a horizontal plane; the wafer having a device area and a surface peripheral area on its surface; the surface peripheral area being located outside the device area; and (b) an edge nozzle for emitting an etching/cleaning liquid toward a surface peripheral area of the wafer. The etching/cleaning liquid emitted from the edge nozzle selectively removes an unnecessary material existing in the surface peripheral area.
    Type: Grant
    Filed: June 6, 2005
    Date of Patent: January 4, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Shinya Yamasaki, Hidemitsu Aoki
  • Patent number: 7857939
    Abstract: Provided are an apparatus and method for treating wafers using a supercritical fluid. The wafer treatment apparatus includes a plurality of chambers; a first supply supplying a first fluid in a supercritical state; a second supply supplying a mixture of the first fluid and a second fluid; a plurality of first and second valves; and a controller selecting a first chamber of the plurality of chambers for wafer treatment to control the open/closed state of each of the plurality of first valves so that the first fluid can be supplied only to the first chamber of the plurality of chambers and selecting a second chamber of the plurality of chambers to control the open/closed state of each of the plurality of second valves so that the mixture of the first fluid and a second fluid can be supplied only to the second chamber of the plurality of chambers.
    Type: Grant
    Filed: March 20, 2007
    Date of Patent: December 28, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyo-san Lee, Chang-ki Hong, Kun-tack Lee, Jeong-nam Han
  • Patent number: RE42248
    Abstract: A cleaning apparatus of organic substances attached to a vapor-deposition mask for low molecular weight organic EL devices comprises a first stage for treating a vapor-deposition mask with a derivative of pyrrolidone, a second stage for rinsing the vapor-deposition mask with water, and a third stage for rinsing the vapor-deposition mask with flowing water. The cleaning apparatus also comprises a fourth stage for treating the vapor-deposition mask with ethanol, a fifth stage for drying the vapor-deposition mask, and a carrying means that carries the vapor-deposition mask to each stage in sequence. It is desirable to adopt N-methyl-2-pyrrolidone as the derivative of pyrrolidone.
    Type: Grant
    Filed: March 26, 2009
    Date of Patent: March 29, 2011
    Assignee: Seiko Epson Corporation
    Inventors: Toshiko Hosoda, Shinichi Yotsuya