Insulative Material Deposited Upon Semiconductive Substrate Patents (Class 438/778)
  • Patent number: 8470693
    Abstract: A silicon oxide film (2) comprising an amorphous phase is deposited on a substrate (1) (see a step (b)) by a plasma CVD method using an SiH4 gas and an N2O gas. Subsequently, a sample comprising the silicon oxide film (2)/the substrate (1) is set on an RTA apparatus. The sample (=the silicon oxide film (2)/the substrate (1)) is heat-treated (rapid heating and rapid cooling) (see a step (c)). In this case, a temperature raising rate is 200° C./s, and a temperature in heat treatment is 1000° C.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: June 25, 2013
    Assignee: Hiroshima University
    Inventors: Shin Yokoyama, Yoshiteru Amemiya
  • Publication number: 20130154064
    Abstract: A glass composition for protecting a semiconductor junction contains at least SiO2, Al2O3, MO, and nickel oxide, and substantially contains none of Pb, P, As, Sb, Li, Na and K (M in MO indicates one of alkali earth metals).
    Type: Application
    Filed: August 29, 2011
    Publication date: June 20, 2013
    Applicant: SHINDENGEN ELECTRIC MANUFACTURING CO., LTD.
    Inventors: Atsushi Ogasawara, Kazuhiko Ito, Koji Ito
  • Publication number: 20130153924
    Abstract: Methods for fabricating a piezoelectric device are provided. The methods can include providing a substrate and forming a nanocrystalline diamond layer on a first surface of the substrate. The methods can also include depositing a piezoelectric layer on a first surface of the nanocrystalline diamond layer.
    Type: Application
    Filed: December 20, 2011
    Publication date: June 20, 2013
    Applicant: INDIAN INSTITUTE OF TECHNOLOGY MADRAS
    Inventors: Maneesh Chandran, M.S. Ramachandra Rao
  • Publication number: 20130157443
    Abstract: A technique of producing one or more electronic switching devices, each switching device comprising a semiconductor channel between two electrodes, and a dielectric element separating said semiconductor channel from a switching electrode, the method comprising: depositing onto a substrate a layer of material for at least partly forming said semiconductor channel or said dielectric element of said one or more switching devices by transferring said material onto said substrate from a rotating first roller.
    Type: Application
    Filed: June 3, 2011
    Publication date: June 20, 2013
    Applicant: PLASTIC LOGIC LIMITED
    Inventors: Patrick Too, Michael Banach
  • Publication number: 20130157475
    Abstract: Provided are methods of depositing tantalum-containing films via atomic layer deposition and/or chemical vapor deposition. The method comprises exposing a substrate surface to flows of a first precursor comprising TaClxR5-x, TaBrxR5-x or TaIxR5-x, wherein R is a non-halide ligand, and a second precursor comprising an aluminum-containing compound, wherein x has a value in the range of 1 to 4. The R group may be C1-C5 alkyl, and specifically methyl. The resulting films comprise tantalum, aluminum and/or carbon. Certain other methods relate to reacting Ta2Cl10 with a coordinating ligand to provide TaCl5 coordinated to the ligand. A substrate surface may be exposed to flows of a first precursor and second precursor, the first precursor comprising the TaCl5 coordinated to a ligand, the second precursor comprising an aluminum-containing compound.
    Type: Application
    Filed: December 13, 2012
    Publication date: June 20, 2013
    Inventors: David Thompson, Jeffrey W. Anthis
  • Publication number: 20130146949
    Abstract: The embodiments of processes and structures described above provide mechanisms for improving mobility of carriers. The dislocations in the source and drain regions and the strain created by the doped epitaxial materials next to the channel region of a transistor both contribute to the strain in the channel region. As a result, the device performance is improved.
    Type: Application
    Filed: December 13, 2011
    Publication date: June 13, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chun Hsiung TSAI, Tsan-Chun WANG, Su-Hao LIU, Tsz-Mei KWOK, Chii-Meng WU
  • Patent number: 8461058
    Abstract: An organic layer deposition apparatus including an electrostatic chuck combined with a substrate so as to fixedly support the substrate. The organic layer deposition apparatus including a receiving surface that has a set curvature for receiving the substrate; a deposition source for discharging a deposition material toward the substrate; a deposition source nozzle unit disposed at a side of the deposition source and including a plurality of deposition source nozzles arranged in a first direction; and a patterning slit sheet disposed to face the deposition source nozzle unit, and having a plurality of patterning slits arranged in a second direction perpendicular to the first direction, wherein a cross section of the patterning slit sheet on a plane formed by lines extending in the second direction and a third direction is bent by a set degree, wherein the third direction is perpendicular to the first and second directions.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: June 11, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventors: Valeriy Prushinskiy, Len Kaplan, Se-Ho Cheong, Won-Sik Hyun, Heung-Yeol Na, Kyong-Tae Park, Byoung-Seong Jeong, Yong-Sup Choi
  • Patent number: 8461060
    Abstract: A semiconductor device and a method of forming it are disclosed in which at least two adjacent conductors have an air-gap insulator between them which is covered by nanoparticles of insulating material being a size which prevent the nanoparticles from substantially entering into the air-gap.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: June 11, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Nishant Sinha, Gurtej Sandhu, Neil Greeley, John Smythe
  • Patent number: 8460987
    Abstract: A method for producing a layer of AlN having substantially vertical sides relative to the surface of a substrate, including: the formation of an AlN growth layer on a substrate, the deposition of the AlN layer, on at least said growth layer, the formation of a mask layer over the AlN layer, at least one edge of which is aligned with at least one edge or side of the growth layer, in a plane which is substantially perpendicular to a surface of the substrate or a surface of the growth layer, and the etching of the AlN layer.
    Type: Grant
    Filed: April 29, 2011
    Date of Patent: June 11, 2013
    Assignee: Commissariat a l'energie atomique et aux energies alternatives
    Inventors: Marc Aid, Emmanuel Defay, Aude Lefevre, Guy-Michel Parat
  • Patent number: 8461059
    Abstract: A batch CVD method repeats a cycle including adsorption and reaction steps along with a step of removing residual gas. The adsorption step is preformed while supplying the source gas into the process container by first setting the source gas valve open for a first period and then setting the source gas valve closed, without supplying the reactive gas into the process container by keeping the reactive gas valve closed, and without exhausting gas from inside the process container by keeping the exhaust valve closed. The reaction step is performed without supplying the source gas into the process container by keeping the source gas valve closed, while supplying the reactive gas into the process container by setting the reactive gas valve open, and exhausting gas from inside the process container by setting the exhaust valve to gradually decrease its valve opening degree from a predetermined open state.
    Type: Grant
    Filed: July 19, 2010
    Date of Patent: June 11, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Toshiyuki Ikeuchi, Masayuki Hasegawa, Toshihiko Takahashi, Keisuke Suzuki
  • Patent number: 8455978
    Abstract: A semiconductor circuit structure includes an interconnect region, and a material transfer region. The semiconductor circuit structure includes a conductive bonding region which couples the material transfer region to the interconnect region through a bonding interface. The conductive bonding region includes a barrier layer between a conductive layer and bonding layer. The bonding layer is positioned towards the material transfer region, and the conductive layer is positioned towards the interconnect region.
    Type: Grant
    Filed: July 30, 2010
    Date of Patent: June 4, 2013
    Inventor: Sang-Yun Lee
  • Patent number: 8455366
    Abstract: An organic planarizing layer (OPL) is formed atop a semiconductor substrate which includes a plurality of gate lines thereon. Each gate line includes at least a high k gate dielectric and a metal gate. A patterned photoresist having at least one pattern formed therein is then positioned atop the OPL. The at least one pattern in the photoresist is perpendicular to each of the gate lines. The pattern is then transferred by etching into the OPL and portions of each of the underlying gate lines to provide a plurality of gate stacks each including at least a high k gate dielectric portion and a metal gate portion. The patterned photoresist and the remaining OPL layer are then removed utilizing a sequence of steps including first contacting with a first acid, second contacting with an aqueous cerium-containing solution, and third contacting with a second acid.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: June 4, 2013
    Assignee: International Business Machines Corporation
    Inventors: Nicholas C. M. Fuller, Pratik P. Joshi, Mahmoud Khojasteh, Rajiv M. Ranade, George G. Totir
  • Publication number: 20130137279
    Abstract: Provided is a substrate processing apparatus capable of increasing a conductance of an exhaust system while preventing or suppressing an increase in footprint of an apparatus, thereby reducing a pressure thereof. The substrate processing apparatus includes a process container (203) configured to accommodate a plurality of substrates (200) stacked together, process gas supply units (232a, 232b, 249a, and 249b) configured to supply process gases for processing the plurality of substrates (200) into the process container (203), and an exhaust unit (300) configured to exhaust the process container (203). The exhaust unit (300) includes a vacuum pump (246), and exhaust pipes configured to connect the process container (203) and the vacuum pump (246). At least a portion of the exhaust pipes has a rib structure (370), and includes pipes (331 to 333) in which cross-sections perpendicular to an exhaust direction have a rectangular or oval shape.
    Type: Application
    Filed: November 28, 2012
    Publication date: May 30, 2013
    Applicant: Hitachi Kokusai Electric Inc.
    Inventor: Hitachi Kokusai Electric Inc.
  • Patent number: 8450219
    Abstract: An Al2O3 thin film layer is fabricated. Atmospheric pressure chemical vapor deposition (APCVD) is processed in a normal atmospheric pressure and a low temperature. On a surface of a p-type or n-type silicon crystal wafer having a purity between 5N (99.999%) and 9N (99.9999999%), the Al2O3 thin film layer is deposited and fabricated. The deposition and fabrication are done to obtain chemical passivation and field effect passivation. In this way, the present invention can be applied in solar cells and other photoelectric devices with reduced leakage of surface currents and improved photoelectric conversion.
    Type: Grant
    Filed: September 29, 2011
    Date of Patent: May 28, 2013
    Assignee: Atomic Energy Council—Institute of Nuclear Research
    Inventor: Tsun-Neng Yang
  • Publication number: 20130119521
    Abstract: A semiconductor substrate having a through-silicon via with an air gap interposed between the through-silicon via and the semiconductor substrate is provided. An opening is formed partially through the semiconductor substrate. The opening is first lined with a first liner and then the opening is filled with a conductive material. A backside of the semiconductor substrate is thinned to expose the first liner, which is subsequently removed and a second liner formed with a low-k or extra low-k dielectric is formed in its place.
    Type: Application
    Filed: January 2, 2013
    Publication date: May 16, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Taiwan Semiconductor Manufacturing Company, Ltd.
  • Publication number: 20130109198
    Abstract: The disclosure relates to a method of depositing amorphous carbon on a substrate using at least one carbon containing molecule having at least one carbon atom the method comprising the steps of supplying the carbon containing molecule and carrying out the deposition to thereby form a deposited amorphous carbon on the substrate, wherein a carbon to hydrogen ratio of the molecule is equal to or more than 0.7.
    Type: Application
    Filed: October 26, 2011
    Publication date: May 2, 2013
    Applicant: AMERICAN AIR LIQUIDE, INC.
    Inventors: Christian DUSSARRAT, Vincent M. OMARJEE
  • Publication number: 20130109199
    Abstract: By depositing a layer of oxidizing metal on the semiconductor surface first and then depositing a layer of the high-k oxide material over the layer of oxidizing metal by an atomic layer deposition, a high-k metal oxide is formed at the interface between the semiconductor substrate and the high-k oxide and prevents formation of the undesirable low-k semiconductor oxide layer at the semiconductor/high-k oxide interface.
    Type: Application
    Filed: October 28, 2011
    Publication date: May 2, 2013
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Georgios VELLIANITIS
  • Patent number: 8431472
    Abstract: Methods is provided for forming a CMOS device. The method includes providing a substrate and depositing a gate stack on the substrate. The gate stack includes a gate dielectric and a dummy gate including polycrystalline silicon (polySi). The method also includes depositing a dielectric layer on the substrate after depositing the gate stack on the substrate. The method further includes substituting the dummy gate with a metal without first removing the dummy gate.
    Type: Grant
    Filed: June 30, 2011
    Date of Patent: April 30, 2013
    Assignee: Globalfoundries, Inc.
    Inventor: Chang Seo Park
  • Publication number: 20130099363
    Abstract: Methods for sealing a porous dielectric are presented including: receiving a substrate, the substrate including the porous dielectric; exposing the substrate to an organosilane, where the organosilane includes a hydrolysable group for facilitating attachment with the porous dielectric, and where the organosilane does not include an alkyl group; and forming a layer as a result of the exposing to seal the porous dielectric. In some embodiments, methods are presented where the organosilane includes: alkynyl groups, aryl groups, fluoroalkyl groups, heteroaryl groups, alcohol groups, thiol groups, amine groups, thiocarbamate groups, ester groups, ether groups, sulfide groups, and nitrile groups. In some embodiments, method further include: removing contamination from the porous dielectric and a conductive region of the substrate prior to the exposing; and removing contamination from the conductive region after the forming.
    Type: Application
    Filed: December 17, 2012
    Publication date: April 25, 2013
    Applicant: INTERMOLECULAR, INC.
    Inventor: Intermolecular, Inc.
  • Publication number: 20130095664
    Abstract: Antimony oxide thin films are deposited by atomic layer deposition using an antimony reactant and an oxygen source. Antimony reactants may include antimony halides, such as SbCl3, antimony alkylamines, and antimony alkoxides, such as Sb(OEt)3. The oxygen source may be, for example, ozone. In some embodiments the antimony oxide thin films are deposited in a batch reactor. The antimony oxide thin films may serve, for example, as etch stop layers or sacrificial layers.
    Type: Application
    Filed: October 11, 2012
    Publication date: April 18, 2013
    Applicant: ASM International. N.V.
    Inventor: ASM International. N.V.
  • Publication number: 20130093029
    Abstract: A process for creating a beryllium oxide film on the surface of a semiconductor material is disclosed. The process is useful for making gate dielectric layers for metal-oxide-semiconductor (MOS) devices, particularly III-V semiconductor devices.
    Type: Application
    Filed: October 12, 2011
    Publication date: April 18, 2013
    Applicant: SEMATECH, INC.
    Inventors: Jung Hwan YUM, Gennadi Bersuker, K. Sanjay Banerjee
  • Patent number: 8421086
    Abstract: A silicon carbide semiconductor device having an active layer with reduced defect density which is formed on a substrate made of silicon carbide, and a method of manufacturing the same are provided. A semiconductor device includes a substrate made of silicon carbide and having an off angle of not less than 50° and not more than 65° with respect to a plane orientation {0001}; a buffer layer, and an epitaxial layer, a p-type layer and an n+ region each serving as an active layer. The buffer layer is made of silicon carbide and formed on the substrate. The active layer is made of silicon carbide and formed on the buffer layer. The micropipe density is lower in the active layer than in the substrate. The density of dislocations in which the direction of a Burgers vector corresponds to is higher in the active layer than in the substrate.
    Type: Grant
    Filed: February 3, 2009
    Date of Patent: April 16, 2013
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Shin Harada, Masato Tsumori
  • Publication number: 20130084715
    Abstract: An Al2O3 thin film layer is fabricated. Atmospheric pressure chemical vapor deposition (APCVD) is processed in a normal atmospheric pressure and a low temperature. On a surface of a p-type or n-type silicon crystal wafer having a purity between 5N (99.999%) and 9N (99.9999999%), the Al2O3 thin film layer is deposited and fabricated. The deposition and fabrication are done to obtain chemical passivation and field effect passivation. In this way, the present invention can be applied in solar cells and other photoelectric devices with reduced leakage of surface currents and improved photoelectric conversion.
    Type: Application
    Filed: September 29, 2011
    Publication date: April 4, 2013
    Applicant: ATOMIC ENERGY COUNCIL-INSTITUTE OF NUCLEAR ENERGY RESEARCH
    Inventor: Tsun-Neng Yang
  • Publication number: 20130078818
    Abstract: A semiconductor process includes the following steps. A substrate is provided. At least a fin-shaped structure is formed on the substrate and an oxide layer is formed on the substrate without the fin-shaped structure forming thereon. A thermal treatment process is performed to form a melting layer on at least a part of the sidewall of the fin-shaped structure.
    Type: Application
    Filed: September 23, 2011
    Publication date: March 28, 2013
    Inventors: Chien-Liang Lin, Shih-Hung Tsai, Chun-Hsien Lin, Te-Lin Sun, Shao-Wei Wang, Ying-Wei Yen, Yu-Ren Wang
  • Publication number: 20130075873
    Abstract: Provided is a glass composition for protecting a semiconductor junction which contains at least SiO2, Al2O3, ZnO, CaO and 3 mol % to 10 mol % of B2O3, and substantially contains none of Pb, P, As, Sb, Li, Na and K. It is preferable that a content of SiO2 falls within a range of 32 mol % to 48 mol %, a content of Al2O3 falls within a range of 9 mol % to 13 mol %, a content of ZnO falls within a range of 18 mol % to 28 mol %, a content of CaO falls within a range of 15 mol % to 23 mol %, and a content of B2O3 falls within a range of 3 mol % to 10 mol %.
    Type: Application
    Filed: May 26, 2011
    Publication date: March 28, 2013
    Applicant: SHINDENGEN ELECTRIC MANUFACTURING CO., LTD.
    Inventors: Atsushi Ogasawara, Kazuhiko Ito, Koji Ito
  • Publication number: 20130078820
    Abstract: In an imprint method of an embodiment, in the imprinting of an imprint shot including an outermost peripheral region of a substrate where resist is not desired to be entered at the time of imprinting, light curing the resist is applied to a light irradiation region with a predetermined width including a boundary between the outermost peripheral region and a pattern formation region more inside than the outermost peripheral region, whereby the resist which is to enter inside the outermost peripheral region is cured. Then, light curing the resist filled in a template pattern is applied onto a template.
    Type: Application
    Filed: March 15, 2012
    Publication date: March 28, 2013
    Inventor: Shinji MIKAMI
  • Publication number: 20130069207
    Abstract: A deposit and a method for producing a deposit on a surface of a silicon substrate. The deposit comprises aluminum oxide, and the method comprises in any order the alternating steps of a) introducing into a reaction space one of water and ozone as a precursor for oxygen, b) introducing into a reaction space the other of water and ozone as a precursor for oxygen, c) introducing into a reaction space a precursor for aluminum and subsequently purging the reaction space;with the provisions that when step a) or step b) precedes step c) then the reaction space is purged before step c), and that the reaction space is not purged between step a) and step b), when step a) precedes step b) or when step b) precedes step a).
    Type: Application
    Filed: May 6, 2011
    Publication date: March 21, 2013
    Applicant: Beneq Oy
    Inventor: Jarmo Skarp
  • Publication number: 20130072018
    Abstract: Damaged surface areas of low-k dielectric materials may be efficiently repaired by avoiding the saturation of dangling silicon bonds after a reactive plasma treatment on the basis of OH groups, as is typically applied in conventional process strategies. The saturation of the dangling bond may be accomplished by directly initiating a chemical reaction with appropriate organic species, thereby providing superior reaction conditions, which in turn results in a more efficient restoration of the dielectric characteristics.
    Type: Application
    Filed: September 15, 2011
    Publication date: March 21, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Matthias Schaller, Daniel Fischer, Thomas Oszinda
  • Publication number: 20130072029
    Abstract: A surface treating method for treating a surface of a substrate inside a process chamber includes the steps of generating an atmosphere containing no moisture in the process chamber, heating the substrate inside the atmosphere containing no moisture in the process chamber; and causing a reaction between the substrate and an adhesion accelerating agent by feeding the adhesion accelerating agent gas into the process chamber.
    Type: Application
    Filed: March 21, 2012
    Publication date: March 21, 2013
    Applicant: Tokyo Electron Limited
    Inventors: Tatsuya YAMAGUCHI, Hiroyuki Hashimoto
  • Patent number: 8399366
    Abstract: A method is provided for forming a semiconductor device. The method includes providing a substrate on a substrate holder in a process chamber, where the substrate contains a raised feature having a top surface and a sidewall surface, and flowing a process gas into the process chamber, where the process gas contains a hydrocarbon gas, an oxygen-containing gas, and optionally argon or helium. The method further includes maintaining a process gas pressure of at least 1 Torr in the process chamber, forming a plasma from the process gas using a microwave plasma source, and exposing the substrate to the plasma to deposit a conformal amorphous carbon film over the surfaces of the raised feature.
    Type: Grant
    Filed: August 25, 2011
    Date of Patent: March 19, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Hiroyuki Takaba
  • Patent number: 8399346
    Abstract: Scratch-resistant coatings for protecting front-side microelectromechanical and semiconductor device features during backside processing are provided, along with methods of using the same. The coatings are non-photosensitive, removable, and tolerate high processing temperatures. These coatings also eliminate the need for a separate etch stop layer in the device design. The coatings are formed from a composition comprising a component dissolved or dispersed in a solvent system. The component is selected from the group consisting of styrene-acrylonitrile copolymers and aromatic sulfone polymers.
    Type: Grant
    Filed: September 15, 2010
    Date of Patent: March 19, 2013
    Assignee: Brewer Science Inc.
    Inventors: Kimberly A. Yess, Madison M. Daily, Jr., Tony D. Flaim
  • Publication number: 20130062753
    Abstract: A carbon-rich carbon boron nitride dielectric film having a dielectric constant of equal to, or less than 3.6 is provided that can be used as a component in various electronic devices. The carbon-rich carbon boron nitride dielectric film has a formula of CxByNz wherein x is 35 atomic percent or greater, y is from 6 atomic percent to 32 atomic percent and z is from 8 atomic percent to 33 atomic percent.
    Type: Application
    Filed: September 9, 2011
    Publication date: March 14, 2013
    Applicant: International Business Machines Corporation
    Inventors: Son Van Nguyen, Alfred Grill, Thomas J. Haigh, JR., Sanjay Mehta
  • Publication number: 20130065404
    Abstract: Provided are processes for the low temperature deposition of silicon-containing films using carbosilane precursors containing a carbon atom bridging at least two silicon atoms. Certain methods comprise providing a substrate; in a PECVD process, exposing the substrate surface to a carbosilane precursor containing at least one carbon atom bridging at least two silicon atoms; exposing the carbosilane precursor to a low-powered energy sourcedirect plasma to provide a carbosilane at the substrate surface; and densifying the carbosilanestripping away at least some of the hydrogen atoms to provide a film comprising SiC. The SiC film may be exposed to the carbosilane surface to a nitrogen source to provide a film comprising SiCN.
    Type: Application
    Filed: September 11, 2012
    Publication date: March 14, 2013
    Applicant: Applied Materials, Inc.
    Inventors: Timothy W. Weidman, Todd Schroeder
  • Patent number: 8394725
    Abstract: A method of forming (and apparatus for forming) a metal oxide layer, preferably a dielectric layer, on a substrate, particularly a semiconductor substrate or substrate assembly, using a vapor deposition process and ozone with one or more metal organo-amine precursor compounds.
    Type: Grant
    Filed: September 30, 2011
    Date of Patent: March 12, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Brian A. Vaartstra, Timothy A. Quick
  • Patent number: 8394689
    Abstract: A semiconductor memory device includes a first active region, a second active region, a first element isolating region and a second element isolating region. The first active region is formed in a semiconductor substrate. The second active region is formed in the semiconductor substrate. The first element isolating region electrically separates the first active regions adjacent to each other. The second element isolating region electrically separates the second active regions adjacent to each other. An impurity concentration in a part of the second active region in contact with a side face of the second element isolating region is higher than that in the central part of the second active region, and a impurity concentration in a part of the first active region in contact with a side face of the first element isolating region is equal to that in the first active region.
    Type: Grant
    Filed: March 22, 2012
    Date of Patent: March 12, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yoshiko Kato, Mitsuhiro Noguchi
  • Patent number: 8383525
    Abstract: Methods of forming metal oxide thin films and related structures are provided. One embodiment of the methods includes conducting a plurality of cycles of deposition on a substrate. Each cycle includes supplying oxygen gas and an inert gas into a reaction space substantially continuously during the cycle. A metal precursor is supplied into the reaction space for a first duration. The metal precursor is a cyclopentadienyl compound of the metal. After the metal precursor is supplied, the continuously flowing oxygen gas is activated for a second duration to generate a plasma in the reaction space. The cycle is conducted at a temperature below about 400° C. The methods can be performed after forming a structure on the substrate, wherein the structure is formed of a material which is physically and/or chemically unstable at a high temperature.
    Type: Grant
    Filed: April 25, 2008
    Date of Patent: February 26, 2013
    Assignee: ASM America, Inc.
    Inventors: Petri Raisanen, Steven Marcus
  • Publication number: 20130043514
    Abstract: A multiphase ultra low k dielectric process incorporating an organo-silicon precursor including an organic porogen, high frequency radio frequency power just above plasma initiation in a PECVD chamber and energy post treatment. A porous SiCOH dielectric material having a k less than 2.7 and a modulus of elasticity greater than 7 GPa. A graded carbon adhesion layer of SiO2 and porous SiCOH.
    Type: Application
    Filed: August 19, 2011
    Publication date: February 21, 2013
    Applicant: International Business Machines Corporation
    Inventors: Alfred Grill, Thomas J. Haigh, JR., Kelly Malone, Son V. Nguyen, Vishnubhai V. Patel, Hosadurga Shobha
  • Patent number: 8378410
    Abstract: A semiconductor device having a non-volatile memory and a method of manufacturing the same are provided. The semiconductor device includes a base material and a stack structure. The stack structure disposed on the base material at least includes a tunneling layer, a trapping layer and a dielectric layer. The trapping layer is disposed on the tunneling layer. The dielectric layer has a dielectric constant and is disposed on the trapping layer. The dielectric layer is transformed from a first solid state to a second solid state when the dielectric layer undergoes a process.
    Type: Grant
    Filed: June 6, 2012
    Date of Patent: February 19, 2013
    Assignee: Macronix International Co., Ltd.
    Inventors: Sheng-Chih Lai, Hang-Ting Lue
  • Patent number: 8377818
    Abstract: The present invention is an aftertreatment method further applied to an amorphous carbon film to which a treatment including heating is performed after the film has been formed on a substrate. The treatment of preventing oxidation of the amorphous carbon film is performed immediately after the treatment including heating.
    Type: Grant
    Filed: July 4, 2007
    Date of Patent: February 19, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Hiraku Ishikawa
  • Patent number: 8372760
    Abstract: A system and method for forming a mechanically strengthened low-k dielectric film on a substrate includes using either spin-on-dielectric (SOD) techniques, or chemical vapor deposition (CVD) techniques to form a low-k dielectric film on the substrate. An upper surface of the low-k dielectric film is then treated in order to increase the film's mechanical strength, or reduce its dielectric constant.
    Type: Grant
    Filed: June 2, 2004
    Date of Patent: February 12, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Kenneth Duerksen, David A. Vidusek
  • Patent number: 8367559
    Abstract: Characteristics of a low-k insulating film grown on a substrate is modulated in the thickness-wise direction, by varying the ratio of high-frequency input and low-frequency input used for inducing plasma in the course of forming the film, to thereby improve the adhesion strength while keeping the dielectric constant at a low level, wherein the high-frequency input and the low-frequency input for inducing plasma are applied from a single electrode, while elevating the level of low-frequency input at least either at the start of formation or at the end of formation of the insulating film, as compared with the input level in residual time zone.
    Type: Grant
    Filed: January 25, 2011
    Date of Patent: February 5, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Hironori Yamamoto, Yoshihiro Hayashi
  • Patent number: 8367558
    Abstract: A method for tuning the work function of a metal gate of the PMOS device is disclosed. The method comprises depositing a layer of metal nitride or a metal on a layer of high-k gate dielectric by physical vapor deposition (PVD), as a metal gate; doping the metal gate with dopants such as Al, Pt, Ru, Ga, Ir by ion implantation; and driving the doped metal ions to the interface between the high-k gate dielectric and interfacial SiO2 by high-temperature annealing so that the doped metal ions accumulate at the interface or generate dipoles by interfacial reaction, which in turn tunes the work function of the metal gate. The method can be widely used and its process is simple and convenient, has a better ability of tuning the work function of the metal gate, and is compatible with the conventional CMOS process.
    Type: Grant
    Filed: June 28, 2010
    Date of Patent: February 5, 2013
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Qiuxia Xu, Gaobo Xu
  • Patent number: 8367924
    Abstract: The present invention relates to methods and apparatuses for providing a buried insulator isolation for solar cell contacts. According to certain aspects, the invention places a buried oxide under the emitter of a polysilicon emitter solar cell. The oxide provides an excellent passivation layer over most of the surface. Holes in the oxide provide contact areas, increasing the current density to enhance efficiency. The oxide isolates the contacts from the substrate, achieving the advantage of a selective emitter structure without requiring deep diffusions. The oxide further enables use of screen printing on advanced shallow emitter cells. Positioning of the grid lines close to the openings also enables use of a very thin emitter to maximize blue response.
    Type: Grant
    Filed: January 27, 2009
    Date of Patent: February 5, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Peter Borden, Li Xu
  • Patent number: 8367556
    Abstract: An organic planarizing layer (OPL) is formed atop a semiconductor substrate which includes a plurality of gate lines thereon. Each gate line includes at least a high k gate dielectric and a metal gate. A patterned photoresist having at least one pattern formed therein is then positioned atop the OPL. The at least one pattern in the photoresist is perpendicular to each of the gate lines. The pattern is then transferred by etching into the OPL and portions of each of the underlying gate lines to provide a plurality of gate stacks each including at least a high k gate dielectric portion and a metal gate portion. The patterned photoresist and the remaining OPL layer are then removed utilizing a sequence of steps including first contacting with a first acid, second contacting with an aqueous cerium-containing solution, and third contacting with a second acid.
    Type: Grant
    Filed: December 1, 2011
    Date of Patent: February 5, 2013
    Assignee: International Business Machines Corporation
    Inventors: Nicholas C. M. Fuller, Pratik P. Joshi, Mahmoud Khojasteh, Rajiv M. Ranade, George G. Totir
  • Patent number: 8362571
    Abstract: Transistor architectures and fabrication processes generate channel strain without adversely impacting the efficiency of the transistor fabrication process while preserving the material quality and enhancing the performance of the resulting transistor. Transistor strain is generated is PMOS devices using a highly compressive post-salicide amorphous carbon capping layer applied as a blanket over on at least the source and drain regions. The stress from this capping layer is uniaxially transferred to the PMOS channel through the source-drain regions to create compressive strain in PMOS channel.
    Type: Grant
    Filed: January 28, 2011
    Date of Patent: January 29, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Qingguo Wu, James S. Sims, Mandyam Sriram, Seshasayee Varadarajan, Haiying Fu, Pramod Subramonium, Jon Henri, Sirish Reddy
  • Patent number: 8361910
    Abstract: Embodiments of the invention provide methods for forming dielectric materials on a substrate. In one embodiment, a method includes exposing a substrate surface to a first oxidizing gas during a pretreatment process, wherein the first oxidizing gas contains a mixture of ozone and oxygen having an ozone concentration within a range from about 1 atomic percent to about 50 atomic percent and forming a hafnium-containing material on the substrate surface by exposing the substrate surface sequentially to a deposition gas and a second oxidizing gas during an atomic layer deposition (ALD) process, wherein the deposition gas contains a hafnium precursor, the second oxidizing gas contains water, and the hafnium-containing material has a thickness within a range from about 5 ? to about 300 ?. In one example, the hafnium-containing material contains hafnium oxide having the chemical formula of HfOx, whereas x is less than 2, such as about 1.8.
    Type: Grant
    Filed: June 30, 2011
    Date of Patent: January 29, 2013
    Assignee: Applied Materials, Inc.
    Inventor: Maitreyee Mahajani
  • Patent number: 8357989
    Abstract: A semiconductor device which eliminates the need for high fillability through a simple process and a method for manufacturing the same. A high breakdown voltage lateral MOS transistor including a source region and a drain region is completed on a surface of a semiconductor substrate. A trench which surrounds the transistor when seen in a plan view is made in the surface of the semiconductor substrate. An insulating film is formed over the transistor and in the trench so as to cover the transistor and form an air-gap space in the trench. Contact holes which reach the source region and drain region of the transistor respectively are made in an interlayer insulating film.
    Type: Grant
    Filed: September 15, 2010
    Date of Patent: January 22, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Kazuma Onishi, Yoshitaka Otsu, Hiroshi Kimura, Tetsuya Nitta, Shinichiro Yanagi, Katsumi Morii
  • Patent number: 8357608
    Abstract: An in-situ process is described incorporating plasma enhanced chemical vapor deposition comprising flowing at least one of a Si, Si+C, B, Si+B, Si+B+C, and B+C containing precursor, and a N containing precursors at first times and removing the N precursor at second times and starting the flow of an oxidant gas and a porogen gas into the chamber. A dielectric layer is described comprising a network having inorganic random three dimensional covalent bonding throughout the network which contains at least one SiCN, SiCNH, SiN, SiNH, BN, BNH, CBN, CBNH, BSiN, BSiNH, SiCBN and SiCBNH as a first component and a low k dielectric as a second component adjacent thereto.
    Type: Grant
    Filed: August 9, 2010
    Date of Patent: January 22, 2013
    Assignee: International Business Machines Corporation
    Inventors: Stephen M Gates, Alfred Grill, Son Van Nguyen, Satyanarayana Venkata Nitta
  • Publication number: 20130012006
    Abstract: A structure of the plasma treatment apparatus is employed in which an upper electrode has projected portions provided with first introduction holes and recessed portions provided with second introduction holes, the first introduction hole of the upper electrode is connected to a first cylinder filled with a gas which is not likely to be dissociated, the second introduction hole is connected to a second cylinder filled with a gas which is likely to be dissociated, the gas which is not likely to be dissociated is introduced into a reaction chamber from an introduction port of the first introduction hole provided on a surface of the projected portion of the upper electrode, and the gas which is likely to be dissociated is introduced into the reaction chamber from an introduction port of the second introduction hole provided on a surface of the recessed portion.
    Type: Application
    Filed: September 14, 2012
    Publication date: January 10, 2013
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei YAMAZAKI, Takayuki INOUE, Erumu KIKUCHI, Hiroto INOUE
  • Patent number: RE44303
    Abstract: According to one embodiment of the disclosure, a method for passivating a circuit device generally includes providing a substrate having a substrate surface, forming an electrical component on the substrate surface, and coating the substrate surface and the electrical component with a first protective dielectric layer. The first protective dielectric layer is made of a generally moisture insoluble material having a moisture permeability less than 0.01 gram/meter2/day, a moisture absorption less than 0.04 percent, a dielectric constant less than 10, a dielectric loss less than 0.005, a breakdown voltage strength greater than 8 million volts/centimeter, a sheet resistivity greater than 1015 ohm-centimeter, and a defect density less than 0.5/centimeter2.
    Type: Grant
    Filed: August 2, 2012
    Date of Patent: June 18, 2013
    Assignee: Raytheon Company
    Inventors: John Bedinger, Michael A. Moore, Robert B Hallock, Kamal Tabatabaie, Thomas E. Kazior