Self-aligned silicide (salicide) process for strained silicon MOSFET on SiGe and structure formed thereby

- IBM

A method of forming a semiconductor substrate (and resultant structure), includes providing a semiconductor substrate to be silicided including a source and drain formed therein on respective sides of a gate, depositing a metal film over the gate, source and drain regions, reacting the metal film with Si at a first predetermined temperature, to form a metal-silicon alloy, etching the unreacted metal, depositing a silicon film over the source drain and gate regions, annealing the substrate at a second predetermined temperature, to form a metal-Si2 alloy, and selectively etching the unreacted Si.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
U.S. GOVERNMENT RIGHTS IN THE PATENT BACKGROUND OF THE INVENTION

[0002] 1. Field of the Invention

[0003] The present invention relates to a strained Si MOSFET, and to a method of forming a low resistivity contact to a strained Si MOSFET.

[0004] 2. Description of the Related Art

[0005] Silicon metal oxide semiconductor field effect transistor (MOSFET) scaling requires the continuous reduction of the gate length, the gate dielectric thickness, and higher substrate doping. These requirements contribute to an increased vertical field in the channel which lowers the channel inversion layer mobility and current drive, as described in K. Rim et al.

[0006] “Transconductance Enhancement in Deep Submicron Strained-Si N-MOSFET”, IEDM 1998 Tech. Digest, p. 707, 1998.

[0007] The channel mobility of both n-MOSFETs and p-MOSFETs is enhanced if the channel is made of “strained silicon”. The strained silicon channel is obtained by growing a thin pseudomorphic Si layer (e.g., on the order of 15 nm to 20 nm thick) on a relaxed relatively thick Si(1-x)Ge(x), where x is typically 0.2 to 0.3, as described in the above-mentioned Rim et al. and J. Welser et al., IEDM 1994 Tech. Digest, p. 373, 1994.

[0008] For purposes of the present invention, “strained-Silicon” means that the silicon film is stretched to accommodate the underlay film lattice constant. For example, if the underlay film has a lattice constant which is larger than that of silicon, the silicon film would be tensely strained. Such straining is performed by forming a relatively thin silicon layer on a substrate, with the silicon layer having a different lattice constant from that of the substrate. However, the upper layer attempts to maintain a same lattice constant as the substrate, and thus is “strained” while attempting to make such an accommodation.

[0009] In contrast. “relaxed” in the context of the present application means that the upper layer is formed to be indifferent to the lattice constant of the substrate. Typically, in such a case, the upper layer is relatively thick and thus does not attempt to match the lattices of the substrate.

[0010] In practice, the straining of the thin silicon film is achieved by forming the film over a thick Si(1-x)Ge(x) grown on a Si substrate. The SiGe film is made thick enough (typically more than a micron thick for x=0.3) so that the film relaxes to its bulk lattice constant. Given that the thick SiGe layer is relaxed then for the purpose of forming a strained thin Si film on top of it, it may be viewed as a substrate with a different lattice constant than that of silicon.

[0011] The enhancement in mobility was found to be as large as 75% for n-MOSFET devices, as described in Rim et al. and 80% for p-MOSFET devices with x=0.2, as described in Rim et al., “Enhanced Hole Mobility in Surface-Channel Strained-Si p-MOSFET”, IEDM 1995 Tech. Digest, p. 517, 1995. The typical thickness of the strained Si film is approximately 13 nm. An attempt to grow a substantially thicker film tends to cause the layer to relax. It is noted that the thickness of the strained silicon layer will depend on the composition of the underlying buffer layer. Thus, assuming SiGe was used for the buffer layer, using a composition having 40% Germanium would result in a thinner upper silicon layer, whereas using a composition hasting 30% Germanium would result in a thicker upper silicon layer.

[0012] High speed devices require the use of silicide for the source and drain to reduce the parasitic series resistance. However, applying the conventional self-aligned silicide (salicide) process to the strained-Si MOSFET is not straightforward due to several issues and consequently has some drawbacks.

[0013] For example, the strained Si film is too thin to accommodate the conventional silicide. For example, if cobalt (Co) is used to make the silicide, then the Si film must be thicker than about 25 nm to accommodate the silicide (CoSi2). However, the pseudomorphic Si layer cannot be made thicker than approximately 15 nm (e.g., a critical thickness, which is the maximum thickness which can be grown for the strained layer until it begins to relax: as mentioned above, the critical thickness depends upon the composition of the substrate such as the percentage of germanium, etc.) or otherwise it relaxes, as mentioned above.

[0014] Secondly, since the strained Si film is not thick enough to supply enough Si to form the silicide, the silicide would have to consume some of the underlying SiGe buffer. However, the formation temperature of the silicide in SiGe is higher than in Si, as shown in FIG. 7.

[0015] For example, the lowest formation temperature of CoSi, in a single crystal Si0 7,Ge0 3 is about 825 C, as compared with 625 C in pure Si. The higher formation temperature has serious implications such as dopant diffusion in the source and drain, and relaxation of the pseudomorphic strained Si film.

[0016] The conventional approach to the abov e-mentioned problem is to make the silicon thicker in the source and drain regions prior to applying the salicide process. The addition of silicon must be selective, and must be limited only to the source and drain regions (and then to the gate) or otherwise bridging will occur.

[0017] On the other hand, if the continuous film of silicon is deposited, the gate and the drain (and source) become connected when the silicide is formed. Thus, to avoid shorting of the gate to the source and drain, a selective deposition must be used. Selective epitaxy is usually the preferred method to add silicon only to the source, drain and gate regions. The silicon epitaxially grows only where a silicon seed exists. Thus, silicon will be added to the gate, source and drain regions which have a silicon (or poly-Si) surface, but no deposition occurs on dielectric surfaces such as the device source/drain spacers.

[0018] Unfortunately, the use of selective epitaxy has serious drawbacks. For example, the epitaxial growth must be truly selective. That is, the selectivity of the growth depends on various variables including the growth temperature, the silicon source, and the dielectric material. Usually, a higher growth temperature yields a more selective growl with less deposition on non-silicon surfaces. The requirement for a high growth temperature may exceed the thermal budget allowed by the conventional salicide process. The best known silicon source for selective epitaxy is SiCI4. Unfortunately, this source requires a high growtlh temperature, typically 900 C to 1200 C. However, applying such a high temperature is not possible, since it would lead to the relaxation of the strained Si film, and to dopant diffusion. Silane (SiH4) permits low growth temperatures down to 650 C. Yet, this silicon source is not very selective.

[0019] Another problem is process robustness. That is, Si epitaxy is very sensitive to surface preparation and cleaning. Different surface treatments could lead to different defects in the film. Oxide residuals (even an atomic mono layer) could prevent the epitaxial growth.

[0020] A third problem is the growth rate dependency on feature size. That is, in chemical vapor deposition (CVD)-type epitaxy, the growth rate may be dependent on the topography, the dimensions of the growth area, and the ratio between the growth to nongrowth areas. This may lead to a growth of different film thicknesses in devices that are embedded in different circuit layouts.

[0021] A fourth problem is the relaxation of the Si film in the source/drain region. That is, the thickening of the strained Si film in the source/drain region by epitaxy leads to a strain relaxation of the film. The relaxation typically is achieved by defects that may extend from the source/drain regions into the channel region.

SUMMARY OF THE INVENTION

[0022] In view of the foregoing and other problems, disadvantages, and drawbacks of the conventional methods and structures, an object of the present invention is to provide a new self-aligned (salicide) method which is applicable to strained Si MOSFET on, for example. SiGe (Note: for purposes of the present invention, SiGe will be assumed for the buffer layer, but of course other materials can be used as would be known by one of ordinary skill in the art taking the present application as a whole).

[0023] Another object is to provide a new method which does not require the use of selective epitaxy for thickening the source and drain. It also uses the same thermal cycles of a conventional bulk MOSFET, and thus at no point is the device exposed to elevated temperatures that may exceed the allowed thermal budget.

[0024] In a first aspect, a method of forming a semiconductor substrate, includes providing a semiconductor substrate to be silicided including a source and drain formed therein on respective sides of a gate, depositing a metal film over the gate source and drain regions, reacting the metal film with Si at a first predetermined temperature, to form a metal-silicon alloy, selectively etching the unreacted metal, depositing a silicon film onto the device, annealing the device at a second predetermined temperature, to form a metal-Si, alloy, and selectively etching the unreacted Si.

[0025] With the unique and unobvious features and aspects of the invention, the problem of forming a silicide in SiGe (or other candidate materials) is overcome by a new self-aligned silicide (salicide) that does not require an increase in the thermal budget applied to the device. Further, the first and second salicide anneal temperatures are the same as in the conventional salicide process of bulk MOSFET, since the silicide (e.g. CoSi2) is meant to form only in the deposited top silicon film. Thus, the invention circumvents hitch temperature issues such as dopant diffusion, and relaxation of the pseudomorphic strained Si layer. These advantages are achieved without introducing expensive and difficult-to-control processes such as selective epitaxy of the source and drain regions.

BRIEF DESCRIPTION OF THE DRAWINGS

[0026] The foregoing and other purposes, aspects and advantages will be better understood from the following detailed description of a preferred embodiment of the invention with reference to the drawings, in which:

[0027] FIGS. 1A-6 illustrate processing steps of a method according to a preferred embodiment of the present invention in which:

[0028] FIG. 1A illustrates a flowchart of a method 100 according to the preferred embodiment as described in relation to the structure shown in FIGS. 1B-6;

[0029] FIG. 1B illustrates a device 10 to be salicided;

[0030] FIG. 2 illustrates depositing a thin film of cobalt onto gate, source and drain regions of the device 10;

[0031] FIG. 3 illustrates a first annealing of the device to form an alloy (e.g. CoSi);

[0032] FIG. 4 illustrates depositing of an amorphous silicon onto the alloy gate and source and drain regions of the device 10;

[0033] FIG. 5 illustrates a second annealing of the device to from an alloy (e.g., CoSi2);

[0034] FIG. 6 illustrates a selective etching of the unreacted amorphous silicon of the device 10; and

[0035] FIG. 7 illustrates a table shoving a relationship of CoSi2 formation temperature to Ge content in SiGe.

DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS OF THE INVENTION

[0036] Referring now to the drawings, and more particularly to FIGS. 1A-7, there is shown a preferred embodiment of the method and structures according to the present invention.

[0037] The process flow is shown in FIGS. 1A to 6. Although the process flow is demonstrated using a conventional MOSFET structure, the method of the present invention is applicable to almost any other, less frequently used, structures as well and such can be considered as a method of forming a substrate. Hereinbelow, the use of Co has been assumed, but other metals useful for suicides such as Ti, Pd, and/or Pt, also can be used with the present invention.

[0038] FIG. 1A illustrates a flow diagram of the inventive method 100, whereas FIGS. 1B through FIG. 6 show the structure processed by the inventive method.

[0039] FIG. 1B shows the initial device to be silicided (e.g., see step 110 of FIG. 1A). The structure includes a Si substrate 1, a “relaxed” SiGe buffer layer 2, a strained Si film 3, a gate dielectric 4, a patterned gate 5, and two sidewall spacers 6. The source 7 and drain 8 are typically fabricated by introducing dopant into the strained Si film 3 on both sides of the gate. Thus, the source and drain are formed in the layer of strained silicon film 3.

[0040] As shown in FIG. 2, a thin film of metal (e.g., Co in the preferred embodiment due to a cobalt disilicide having a low sheet resistance, but, as mentioned above, other metals can be used; in the example described below, Co will be assumed) 9 is deposited over the gate, source and drain regions (e.g., see step 120 of FIG. 1A).

[0041] In FIG. 3, the Co is reacted with Si at a low enough temperature, Ti, to form the monosilicide phase CoSi 10 (e.g., see step 130 of FIG. 1A). In the case of Co, the temperature window for Ti is about 481 C to about 625 C. At a temperature lower than 481 C, the CoSi phase may not form, whereas at a temperature higher than 625 C, the CoSi2 phase would start to form. The unreacted Co is removed by selective etching (e.g. 10:1 H2O2:H2SO4 at 65 C, see step 135 of FIG. 1A). This step is similar to an etching step in the conventional salicide process. Thus, the first annealing forms the monosilicide. It is noted that, to form the monosilicide, a given amount of silicon is needed (i.e., 1 nm of Co would require 1.82 nm of Si to form the CoSi phase). Hence, if 8 nm of Co is deposited, then at least 14.56 nm of silicon would be required to convert all of the Co into CoSi.

[0042] However, as explained below, the process does not stop at the monosilicide but instead wishes to form a disilicide. Hence, another source of silicon is required as shown in FIG. 4.

[0043] Thus, as shown in FIG. 4, an amorphous Si (a-Si) or a poly-Si film (cap) 11 is deposited (e.g., see step 140 of FIG. 1A). For example, the silicon cap may be deposited by sputtering or evaporation. Preferably, such a film has a thickness of between about 15 nm to about 75 nm.

[0044] Then, as shown in FIG. 5, the wafer is annealed at a high enough temperature (e.g. T2>T1), to form CoSi, (e.g. see step 150 of FIG. 1A). Preferably, such a temperature T2 is above 625 C but within the conventional thermal budget (e.g. less than about 750 C). The deposition of the a-Si film (or poly silicon) guarantees the supply of Si for the formation of the CoSi, phase.

[0045] Then, as shown in FIG. 6, the unreacted Si is selectively etched (e.g. see step 160 of FIG. 1A). The etch mayl be a wet etch or the like using, for example, TMAH, which is very selective.

[0046] To form the CoSi, phase in Si0 7,Ge0 3, an anneal temperature higher than 825 C is required, as shown in the graph of FIG. 7. Since the annealing temperature T2 that is typically used for conventional salicide is 750 C, the CoSi2 formation will only occur in the deposited a-Si film 11 (or the polysilicon film).

[0047] That is, FIG. 7 illustrates the amount of Ge content in the buffer layer as related to the CoSi, formation temperature. It is noted that the silicon may continue to be consumed such that the source is entirely consumed to reach the silicon-Ge buffer. FIG. 7 illustrates that, if there is no (0%) of Ge in the buffer layer (e.g., pure silicon), then the formation temperature is about 625 C, whereas if a small amount of Ge (3-4%pf Ge) is added to the SiGe buffer layer, then the reaction temperature increases dramatically to approximately 740 C. Similarly, if the Ge content in the SiGe is 15%, then the reaction temperature is approximately 790 C. Moreover, if 30% Ge was used, the reaction temperature would be about 825 C. Hence, the invention can optimally tailor the reaction temperatures.

[0048] That is, the invention recognizes that the reaction can use the top silicon (e.g. strained silicon layer) depending upon a reaction temperature used. For example, the top silicon will react at 700 C, but the “bottom” silicon (i.e. in the Si-Ge buffer layer) will not react since the addition of the Ge in the Si—Ge layer raises the reaction temperature significantly. Thus, all of the top silicon can be reacted at 700 C, but none of the Si in the Si—Ge layer will be reacted since, for example, a 3-4% Ge composition of such a layer would require a reaction temperature of 740 C. Hence, if the designer wishes to form a unique source and drain structure in which the source and drain are higher than the channel, then such a unique structure can be formed.

[0049] Thus, with the unique and unobvious aspect of the present invention, the problem of formine a silicide in SiGe (or other candidate materials) is overcome by a new self-aligned silicide (salicide) process that does not require an increase in the thermal budget applied to the device.

[0050] Moreover, the first and second salicide anneal temperatures are the same as in the conventional salicide process of bulk MOSFET, since the silicide (e.g., CoSi2) is meant to form only in the deposited top silicon film. Thus, the invention circumvents high temperature issues such as dopant diffusion, and relaxation of the pseudomorphic strained Si layer. These advantages are achieved without introducing expensive and difficult-to-control processes such as selective epitaxy of the source and drain regions.

[0051] Hence, adding the silicon layer on top is extremely important and advantageous in avoiding having to raise the reaction temperature, thereby keeping within the thermal budget. Further, it is noted that, since elevated temperatures can be avoided, then similarly the problem of the strained silicon layer relaxing as a result of such elevated temperatures can be avoided.

[0052] While the invention has been described in terms of several preferred embodiments, those skilled in the art will recognize that the invention can be practiced with modification within the spirit and scope of the appended claims.

[0053] For example, the invention is not limited to planar source/drain structures and may be applied to other structures such as a MOSFET with sidewall source and drain contacts, as described in U.S. Pat. No. 5,773,331, to P. Solomon et al. and T. Yoshimoto, et al. “Silicided Silicon-Sidewall Source and Drain Structure for High Performance 75-nm Gate Length p-MOSFETs”. 1995 Symposium on VLSI Technology, Digest p. 11, both incorporated herein by reference.

[0054] Further, it is noted that the invention processing may consume all of the strained silicon, or may leave some as shown in FIG. 6 (e.g., see the very thin stripe of silicon), or may consume a portion of the silicon in the Si—Ge buffer layer. Indeed, a very thin strained silicon layer may be employed in which the Si—GE buffer layer is very rich with Ge, and then at the monosilicide phase (e.g., FIG. 3) all of the Si may be consumed and even some Si in the Si—Ge buffer. This is not a problem since the monosilicide layer does not have an elevated temperature problem. Hence, the CoSi could extend down into the Si—Ge buffer, in which case there would be no “stripe” of Si in FIG. 6.

[0055] Further, in some applications it would be desirable not to form a silicide in the relaxed SiGe buffer. This would require minimizing the Si consumption from the strained Si film already during the first anneal. The strained silicon consumption may be reduced if a mixture of metal and Si is deposited in step 120 of FIG. 1A. The process of using Co alloys was first disclosed in U.S. patent application Ser. No. 09/515,033, by Cyril Carbal et. al. “Method for Self-aligned Formation of Silicide Contacts Using Metal Silicon Alloys for Limited Silicon Consumption and for Reduction of Bridging”, having IBNL Disclosure No. YOR8-2000-0018, filed on Mar. 6, 2000 and incorporated herein by reference.

[0056] Thus, instead of a pure Co deposition (step 120), Co is co-deposited with Si. The use of such a mixture of Co1-ySiy, is limited to about y<0.28, or otherwise bridging from source/drain to gate will occur. The reduction in the Si consumption from the strained silicon film is achieved due to the following reasons.

[0057] First, the temperature window in which the metal-rich phase. Co2Si, is formed is broadened to about 100C. This makes it possible to replace the first anneal (step 130 of figure 1A) that forms the mono-silicide phase. CoSi, with an anneal that will form the metal-rich phase. Co2Si. The formation of the metal-rich phase consumes only half of the strained Si that would have been consumed by the mono-silicide phase. Now, the cap silicon layer may be deposited over the metal-rich phase (after the etching of the unreacted Co—step 135 of FIG. 1A). Thus, the silicide formation is carried out with a second source of silicon on top of the silicide film almost from the very beginning of the process. This reduces the consumption of Si from the strained Si film.

[0058] Secondly, some of the silicon which is required to form the silicide phase is already contained in the deposited mixture, and thus the substrate consumption is reduced.

Claims

1. A method of forming a semiconductor substrate, comprising:

providing a semiconductor substrate to be silicided including a substrate having a source region and a drain region formed therein on respective sides of a gate;
forming a metal film over the gate, source and drain regions;
reacting said metal film with Si at a first predetermined temperature, to form a metal-silicon alloy;
forming a silicon film onto the metal-silicon alloy;
annealing the substrate at a second predetermined temperature, to form a metal-Si2 alloy; and
selectively etching said unreacted Si.

2. The method of claim 1, wherein said metal includes at least one of Co, Ti, Pd, and Pt.

3. The method of claim 1, wherein said thin film of metal has a thickness of approximately 0.3 nm to approximately 50 nm.

4. The method of claim 1, wherein said metal film is cobalt.

5. The method of claim 4, wherein said predetermined temperature is between about 481 C to about 625 C.

6. The method of claim 5, further comprising:

selectively etching any unreacted metal.

7. The method of claim 1, wherein said silicon film comprises one of an amorphous Si (a-Si) and a poly-Si film.

8. The method of claim 1, wherein said silicon film has a thickness of between about 15 nm to about 75 nm.

9. The method of claim 1, wherein said process is devoid of a selective epitaxy of the source and drain regions.

10. The method of claim 1, wherein said substrate is Si0 7Ge0 3.

11. The method of claim 10, wherein said second predetermined temperature is higher than approximately 825 C.

12. The method of claim 1, wherein said substrate is Si1-xGex, where x is between 0.05 to 0.4.

13. The method of claim 1, wherein said metal-Si2 formation occurs only in the deposited silicon film.

14. The method of claim 1, wherein the semiconductor substrate further comprises a relaxed SiGe buffer layer, a strained Si film, a gate dielectric, a patterned gate, and first and second sidewall spacers, formed in this order on said substrate.

15. The method of claim 1, wherein said second predetermined temperature is higher than said first predetermined temperature.

16. The method of claim 1, wherein said silicon film comprises a strained silicon, and wherein said first annealing consumes substantially all of the strained silicon.

17. The method of claim 1, wherein said silicon film comprises a strained silicon, and wherein said first annealing consumes a portion of the strained silicon.

18. The method of claim 16, wherein said substrate includes a Si—Ge buffer layer, and wherein said first annealing further consumes a portion of the silicon in the Si—Ge buffer layer.

19. The method of claim 1, wherein said method is self-aligned and is devoid of using any of a patterning and a mask.

20. The method of claim 1, wherein said metal film is co-sputtered with silicon, a percentage of said silicon to said metal film being less than 28%.

21. The method of claim 1, wherein said substrate is a Si1-xGex composition, where 0.05<x<0.5.

22. The method of claim 13, wherein said silicon film comprises a strained silicon film, and wherein, if not all of the strained silicon film is reacted with the metal film to form metal-Si during the first anneal, then the remaining silicon in the strained silicon film reacts to form the metal-Si2 phase.

23. The method of claim 1, wherein the metal film comprises pure metal.

24. The method of claim 1, wherein the metal film comprises a metal-silicon mixture.

25. The method of claim 24, wherein the first anneal forms a metal-rich phase, and the unreacted metal-silicon mixture is etched.

26. The method of claim 25, wherein a Si cap is deposited over the metal-rich phase and annealed to form the disilicide.

27. The method of claim 1, further comprising:

after said reacting, etching any unreacted portion of the metal.

28. A method of forming a silicide, including:

providing a substrate to be silicided including forming a metal-silicon mixture over predetermined regions of said substrate;
reacting said metal-silicon mixture with Si at a first predetermined temperature, to form a metal-rich phase;
etching any unreacted portion of the metal-silicon mixture;
depositing a silicon cap over the metal-rich phase;
annealing the substrate at a second predetermined temperature, to form a metal-Si, alloy; and
selectively etching said unreacted Si.

29. A method of forming a semiconductor substrate, comprising:

providing a semiconductor substrate to be silicided including a substrate having a source region and a drain region formed therein on respective sides of a gate;
forming a metal-silicon mixture over the gate, source and drain regions;
reacting said metal-silicon mixture with Si at a first predetermined temperature, to form a metal-rich phase;
etching any unreacted portion of the metal-silicon mixture;
depositing a silicon cap over the metal-rich phase;
annealing the substrate at a second predetermined temperature, to form a metal-Si2 alloy; and
selectively etchinu said unreacted Si.

30. The method of claim 29, wherein said metal-rich phase is Co2Si.

31. A method of siliciding a substrate, comprising:

providing a substrate to be silicided forming a metal film over the substrate;
reacting said metal film with Si at a first predetermined temperature, to form a metal-silicon alloy;
etching the unreacted metal;
forming a silicon film over said metal-silicon alloy;
annealing the substrate at a second predetermined temperature, to form a metal-Si2 alloy; and
selectively etching said unreacted Si.

32. A semiconductor substrate, comprising:

a substrate;
a relaxed Si—Ge buffer layer formed on said substrate;
a strained silicon layer formed on said Si—Ge buffer layer and having a source and drain formed therein on respective sides of a gate, said gate being formed over said strained silicon; and
a metal film deposited over the gate, source and drain regions, said metal film having been reacted with Si at a first predetermined temperature, to form a metal-silicon alloy,
wherein said source drain and gate are formed of a metal disilicide, and
wherein said source and drain are raised over said strained silicon layer.
Patent History
Publication number: 20030068883
Type: Application
Filed: Nov 5, 2002
Publication Date: Apr 10, 2003
Applicant: International Business Machines Corporation (Armonk, NY)
Inventors: Atul Champaklal Ajmera (Wappingers Falls, NY), Cyril Cabral (Ossining, NY), Roy Arthur Carruthers (Stormville, NY), Kevin Kok Chan (Staten Island, NY), Guy Moshe Cohen (Mohegan Lake, NY), Paul Michael Kozlowski (Hopewell Junction, NY), Christian Lavoie (Ossining, NY), Joseph Scott Newbury (Tarrytown, NY), Ronnen Andrew Roy (Ossining, NY)
Application Number: 10287476