Gas Or Vapor Deposition Patents (Class 118/715)
  • Patent number: 11548804
    Abstract: There is provided a method of processing an oxygen-containing workpiece. The method of processing an oxygen-containing workpiece includes controlling a fluorine concentration in the oxygen-containing workpiece based on at least one of a kind of a fluorine-containing processing gas, a processing temperature and a processing pressure used for processing the oxygen-containing workpiece.
    Type: Grant
    Filed: August 1, 2019
    Date of Patent: January 10, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Reiko Sasahara, Yasuo Nakatani, Keiko Hada
  • Patent number: 11542593
    Abstract: A system for depositing coating on a workpiece includes a deposition chamber within which is formed a vortex to at least partially surround a workpiece therein.
    Type: Grant
    Filed: July 2, 2020
    Date of Patent: January 3, 2023
    Assignee: Raytheon Technologies Corporation
    Inventors: James W. Neal, Brian T. Hazel, David A. Litton, Eric Jorzik
  • Patent number: 11534780
    Abstract: An atomizing spray nozzle device includes an atomizing zone housing that receives different phases of materials used to form a coating. The atomizing zone housing mixes the different phases of the materials into a two-phase mixture of ceramic-liquid droplets in a carrier gas. The device also includes a plenum housing fluidly coupled with the atomizing housing and extending from the atomizing housing to a delivery end. The plenum housing includes an interior plenum that receives the two-phase mixture of ceramic-liquid droplets in the carrier gas from the atomizing zone housing. The device also includes one or more delivery nozzles fluidly coupled with the plenum chamber. The delivery nozzles provide outlets from which the two-phase mixture of ceramic-liquid droplets in the carrier gas is delivered onto one or more surfaces of a target object as the coating on the target object.
    Type: Grant
    Filed: August 30, 2019
    Date of Patent: December 27, 2022
    Assignee: General Electric Company
    Inventors: Ambarish Jayant Kulkarni, Hrishikesh Keshavan, Mehmet Dede, Bernard Patrick Bewlay, Guanghua Wang, Byron Pritchard, Michael Solomon Idelchik
  • Patent number: 11535936
    Abstract: A showerhead utilized within a process chamber includes a first inlet for receiving a first gas from a first source at a center region of an inner plenum defined therein. A plurality of second inlets is defined along a peripheral region of the showerhead for receiving a second gas from a second source. A plurality of conduits couples the edge plenum to an outer edge of the inner plenum so as to supply the second gas to the inner plenum. The first gas creates an inner flow that flows radially outward from the center region to an outer edge of the inner plenum and the second gas supplied by the edge plenum creates a perimeter flow that flows inward from the outer edge of the inner plenum toward the center region. A stagnation point defining an adjustable radius is formed at an interface of the first gas and the second gas.
    Type: Grant
    Filed: July 23, 2018
    Date of Patent: December 27, 2022
    Assignee: Lam Research Corporation
    Inventors: Michael Philip Roberts, Eric Russell Madsen
  • Patent number: 11538666
    Abstract: A substrate processing system includes a multi-zone cooling apparatus to provide cooling for all or substantially all of a window in a substrate processing chamber. In one aspect, the apparatus includes one or more plenums to cover all or substantially all of a window in a substrate processing chamber, including under an energy source for transformer coupled plasma in the substrate processing chamber. One or more air amplifiers and accompanying conduits provide air to the one or more plenums to provide air flow to the window. The conduits are connected to plenum inlets at various distances from the center, to direct airflow throughout the window and thus address center hot, middle hot, and edge hot conditions, depending on the processes being carried out in the chamber. In one aspect, the one or more plenums include a central air inlet, to direct air toward the center portion of the window, to address center hot conditions.
    Type: Grant
    Filed: November 15, 2017
    Date of Patent: December 27, 2022
    Assignee: Lam Research Corporation
    Inventors: Yiting Zhang, Richard Marsh, Saravanapriyan Sriraman, Alexander Paterson
  • Patent number: 11530481
    Abstract: Described herein is a technique capable of improving a uniformity of the characteristics of a film formed on a surface of a substrate by a rotary type apparatus. According to one aspect of the technique, there is provided a substrate processing apparatus including: a process chamber in which a substrate is processed; a substrate support provided in the process chamber and including a plurality of placement parts on which the substrate is placed; a main nozzle provided so as to face a placement part among the plurality of the placement parts and including a first portion where no hole is provided so as to thermally decompose a process gas; and an auxiliary nozzle provided so as to face the placement part and including a second portion where no hole is provided so as to thermally decompose the process gas.
    Type: Grant
    Filed: August 5, 2020
    Date of Patent: December 20, 2022
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Naofumi Ohashi, Hidehiro Yanai, Tadashi Takasaki
  • Patent number: 11524392
    Abstract: Embodiments described herein provide for devices and methods for retaining optical devices. The devices and methods described herein provide for retention of the substrate without contacting sensitive portions of the substrate. The devices and methods utilize retention pads or vacuum pins to contact the exclusion zones i.e., inactive areas of the substrate to retain the substrate and prevent the substrate from moving laterally. Additionally, a holding force retains the substrate in the vertical direction, without contacting the substrate. The methods provide for adjusting the devices to account for multiple geometries of the substrate. The methods further provide for adjusting the devices, such as adjusting a gap between the optical device and a suction pad, to alter the holding force of the devices on the optical devices.
    Type: Grant
    Filed: April 22, 2021
    Date of Patent: December 13, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Yaseer Arafath Ahamed, Kangkang Wang, Benjamin B. Riordon, James D. Strassner, Ludovic Godet
  • Patent number: 11520184
    Abstract: A color conversion display panel includes: a color conversion layer provided on a substrate and including a semiconductor nanocrystal and a scatterer; and a transmission layer provided on the substrate, wherein the semiconductor nanocrystal is included at greater than 30 wt % of an entire content of the color conversion layer, and the scatterer is included at equal to or less than 12 wt % of the entire content of the color conversion layer.
    Type: Grant
    Filed: February 21, 2018
    Date of Patent: December 6, 2022
    Inventors: Won Woo Jang, Jin Ho Park, Won Sik Oh, Hyang-A Park, Seung In Baek, Seoung Bum Pyoun, Jae Min Ha, Hyun Ji Ha
  • Patent number: 11519070
    Abstract: In order to inhibit overshoot in the concentration of a source gas immediately after a gas supply period starts, there is provided a vaporization device that is equipped with a vaporization tank that holds a liquid or a solid source, a carrier gas supply path that supplies carrier gas to the vaporization tank, a source gas extraction path along which flows a source gas which is obtained by vaporizing the source and which is extracted from the vaporization tank, a concentration monitor that is provided on the source gas extraction path, and a concentration control mechanism that is provided with a fluid controller which controls a concentration of the source gas extracted from the source gas extraction path. This vaporization device alternates between supplying the source gas and stopping the supply of the source gas.
    Type: Grant
    Filed: January 31, 2020
    Date of Patent: December 6, 2022
    Assignee: HORIBA STEC, CO., LTD.
    Inventors: Toru Shimizu, Masakazu Minami
  • Patent number: 11515179
    Abstract: Exemplary semiconductor processing systems may include a processing chamber, and may include a remote plasma unit coupled with the processing chamber. Exemplary systems may also include a mixing manifold coupled between the remote plasma unit and the processing chamber. The mixing manifold may be characterized by a first end and a second end opposite the first end, and may be coupled with the processing chamber at the second end. The mixing manifold may define a central channel through the mixing manifold, and may define a port along an exterior of the mixing manifold. The port may be fluidly coupled with a first trench defined within the first end of the mixing manifold. The first trench may be characterized by an inner radius at a first inner sidewall and an outer radius, and the first trench may provide fluid access to the central channel through the first inner sidewall.
    Type: Grant
    Filed: June 29, 2020
    Date of Patent: November 29, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Mehmet Tugrul Samir, Dongqing Yang
  • Patent number: 11512539
    Abstract: Aspects of the present disclosure relate to methods of coating a coiled tubing string, methods of conducting tubing operations using a coated tubing string, and associated apparatus thereof. In one implementation, a method of conducting a coiled tubing operation, includes forming a tubing string. The tubing string has a central annulus, an inner surface, and an outer surface, and the tubing string is formed from a metallic material. The method also includes coiling the tubing string onto a spool, and moving a coating into the central annulus. The method also includes curing the coating onto the inner surface of the tubing string to form a layer of the coating on the inner surface of the tubing string.
    Type: Grant
    Filed: December 19, 2019
    Date of Patent: November 29, 2022
    Assignee: FORUM US, INC.
    Inventors: Jessica Lorae Olson Perez, Fernando Luna, Irma Irais Galvan, Garry F. McClelland
  • Patent number: 11505351
    Abstract: A device for coating containers includes at least one vacuum device for generating a vacuum, and at least one treatment station for coating containers with a plasma, wherein the treatment station is in fluid communication with the vacuum device and wherein the treatment station has at least one treatment device which can be introduced into a container, a conveyor device for transporting containers on a transport path P and at least one support element to receive at least one container, wherein the support element is movable in a direction perpendicular to the transport path P of the containers and is suitable for introducing the container into a treatment station, wherein a closure element is arranged on the support element and the closure element is suitable for closing the treatment station in an airtight manner.
    Type: Grant
    Filed: December 18, 2019
    Date of Patent: November 22, 2022
    Inventors: Jochen Krueger, Michael Neubauer
  • Patent number: 11495477
    Abstract: Provided is a technique in which a heating-up time inside a process chamber is reduced. The technique includes a substrate processing apparatus including a process chamber where a substrate is processed, a substrate retainer configured to support the substrate in the process chamber, a process gas supply unit configured to supply a process gas into the process chamber, a first heater installed outside the process chamber and configured to heat an inside of the process chamber, a thermal insulating unit disposed under the substrate retainer, a second heater disposed in the thermal insulating unit and configured to heat the inside of the process chamber, and a purge gas supply unit configured to supply a purge gas into the thermal insulating unit to purge an inside of the thermal insulating unit.
    Type: Grant
    Filed: September 21, 2018
    Date of Patent: November 8, 2022
    Assignee: Kokusai Electric Corporation
    Inventors: Shuhei Saido, Hidenari Yoshida, Takatomo Yamaguchi, Takayuki Nakada, Tomoshi Taniyama
  • Patent number: 11492704
    Abstract: Embodiments described herein generally relate to apparatus for fabricating semiconductor devices. A gas injection apparatus is coupled to a first gas source and a second gas source. Gases from the first gas source and second gas source may remain separated until the gases enter a process volume in a process chamber. A coolant is flowed through a channel in the gas injection apparatus to cool the first gas and the second gas in the gas injection apparatus. The coolant functions to prevent thermal decomposition of the gases by mitigating the influence of thermal radiation from the process chamber. In one embodiment, the channel surrounds a first conduit with the first gas and a second conduit with the second gas.
    Type: Grant
    Filed: August 13, 2019
    Date of Patent: November 8, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Shu-Kwan Lau, Lit Ping Lam, Preetham Rao, Kartik Shah, Ian Ong, Nyi O. Myo, Brian H. Burrows
  • Patent number: 11486039
    Abstract: Disclosed herein are laser-assisted metal-organic chemical vapor deposition devices and methods of use thereof.
    Type: Grant
    Filed: May 18, 2020
    Date of Patent: November 1, 2022
    Assignee: Ohio State Innovation Foundation
    Inventors: Hongping Zhao, Zhaoying Chen
  • Patent number: 11476131
    Abstract: There is provided a technique that includes: processing a substrate in a process vessel by supplying a processing gas to the substrate and exhausting the processing gas from an exhaust part including an exhaust pipe and a pump; cleaning an interior of the exhaust part by supplying a first cleaning gas from a supply port installed in the exhaust pipe directly into the exhaust pipe; and cleaning an interior of the process vessel by supplying a second cleaning gas into the process vessel, wherein a frequency of performing the act of cleaning the interior of the exhaust part is set higher than a frequency of performing the act of cleaning the interior of the process vessel.
    Type: Grant
    Filed: September 7, 2018
    Date of Patent: October 18, 2022
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventor: Takashi Ozaki
  • Patent number: 11462401
    Abstract: There is provided a substrate processing apparatus including: a process chamber configured to accommodate and process a plurality of substrates arranged with intervals therebetween; a first nozzle extending along a stacking direction of the substrates and configured to supply a hydrogen-containing gas into the process chamber; and a second nozzle extending along the stacking direction of the substrates and configured to supply an oxygen-containing gas into the process chamber, wherein the first nozzle includes a plurality of first gas supply holes disposed in a region extending from an upper portion to a lower portion of the first nozzle corresponding to a substrate arrangement region where the substrates are arranged, and the second nozzle includes a plurality of second gas supply holes disposed at an upper portion and a lower portion of the second nozzle to correspond to upper substrates and lower substrates of the substrates.
    Type: Grant
    Filed: June 2, 2014
    Date of Patent: October 4, 2022
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Kosuke Takagi, Naonori Akae, Masato Terasaki, Mikio Ohno
  • Patent number: 11453942
    Abstract: Described herein is a technique capable of improving a film thickness uniformity on a surface of a wafer whereon a film is formed. According to one aspect of the technique of the present disclosure, there is provided a substrate processing apparatus including: a process chamber in which a substrate is processed; a process gas nozzle configured to supply a process gas into the process chamber; an inert gas nozzle configured to supply an inert gas into the process chamber while a concentration of the process gas at the center of the substrate is higher than a concentration required for processing the substrate; and an exhaust pipe configured to exhaust an inner atmosphere of the process chamber; wherein the process gas nozzle and the inert gas nozzle are disposed beside the edge of substrate with a predetermined distance therebetween corresponding to an angle of circumference of 90 to 180 degrees.
    Type: Grant
    Filed: July 22, 2019
    Date of Patent: September 27, 2022
    Assignee: Kokusai Electric Corporation
    Inventors: Hidetoshi Mimura, Takafumi Sasaki, Hidenari Yoshida, Yusaku Okajima
  • Patent number: 11450524
    Abstract: There is provided a technique that includes a process chamber in which a process is performed to a substrate, the process including forming a film containing a main element, a first nozzle configured to supply a precursor containing the main element to the substrate in the process chamber, and a second nozzle configured to supply a reactant to the substrate in the process chamber. The first nozzle includes a first ceiling hole provided at a ceiling portion of the first nozzle and opened in a vertical direction, and a plurality of first side holes provided at a side portion of the first nozzle and opened in a horizontal direction. An opening area of the first ceiling hole is larger than an opening area of each of the plurality of first side holes.
    Type: Grant
    Filed: March 14, 2018
    Date of Patent: September 20, 2022
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Kosuke Takagi, Risa Yamakoshi, Takanori Ueno
  • Patent number: 11443964
    Abstract: A substrate processing apparatus includes a placing table configured to hold a substrate having a processing target film, which is decomposed by irradiating an ultraviolet ray thereto under an oxygen-containing atmosphere; a processing chamber, configured to accommodate therein the substrate placed on the placing table, having therein the oxygen-containing atmosphere; and an ultraviolet ray irradiation device configured to irradiate the ultraviolet ray to the substrate within the processing chamber. Further, the placing table is provided with a surrounding member configured to surround the substrate placed on the placing table and restrict a gas introduction amount from an outside of the substrate toward above the substrate.
    Type: Grant
    Filed: November 1, 2016
    Date of Patent: September 13, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Masatoshi Kaneda, Yuzo Ohishi, Keisuke Yoshida
  • Patent number: 11441224
    Abstract: A method of controlling a substrate processing apparatus that includes a stage, an annular member, a gas introduction mechanism, an exhaust part and a heat transfer gas introduction supply/exhaust part, the method including: mounting a substrate on the stage, and mounting the annular member on the substrate to press the substrate; creating a pressure of a heat transfer gas to be supplied into a space formed between a rear surface of the substrate and a front surface of the stage using the heat transfer gas supply/exhaust part; supplying the heat transfer gas into the space from the heat transfer gas supply/exhaust part; introducing the gas from the gas introduction mechanism into a container; exhausting the heat transfer gas from the space through an orifice; subsequently, exhausting the heat transfer gas from the space; and removing the annular member from the substrate.
    Type: Grant
    Filed: January 29, 2020
    Date of Patent: September 13, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Daisuke Toriya, Yuichi Furuya, Toshiaki Fujisato
  • Patent number: 11434566
    Abstract: An ALD or digital CVD apparatus and method for microparticles are proposed. The apparatus and the method use an impact, which is caused by the pulsed introduction of a precursor or a purging gas to be introduced into a reactor, without additional vibration or rotation of the reactor, so as to inhibit the agglomeration of particles to be applied to a surface and enable dispersion to be maximized, thereby enabling each particle to be uniformly applied, and simultaneously preventing the loss, in the reactor during processing, of powder to be coated without an additional separate filter or filler. A deposition reactor has a structure in which at least two overlapping reactors are provided. A reactant or a purging gas directly flows into an inner reactor in which a chemical reaction occurs. A purging step is simultaneously carried out in inner and outer reactors.
    Type: Grant
    Filed: May 8, 2018
    Date of Patent: September 6, 2022
    Assignee: INDUSTRY FOUNDATION OF CHONNAM NATIONAL UNIVERSITY
    Inventor: Do Heyoung Kim
  • Patent number: 11427912
    Abstract: Embodiments of substrate processing equipment and rotatable substrate supports incorporating the same are provided herein. In some embodiments, the substrate support may include a pedestal having a substrate receiving surface, a shaft having an upper end, a lower end, and a central opening, where the shaft is coupled to the pedestal at the upper end, a hub circumscribing the shaft, where the shaft is rotatable with respect to the hub, and where the hub includes a first port that extends from an outer surface of the hub to a volume between the hub and the shaft, and a ferrofluid sealing assembly disposed between the hub and the shaft.
    Type: Grant
    Filed: June 25, 2018
    Date of Patent: August 30, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Muhannad Mustafa, Muhammad M. Rasheed
  • Patent number: 11427908
    Abstract: Various embodiments include an apparatus to supply precursor gases to a processing tool. In various examples, the apparatus includes a point-of-use (POU) valve manifold that includes a manifold body to couple to a processing chamber of the processing tool. The manifold body has a multiple precursor-gas outlet ports surrounded by an annulus. A purge-gas outlet port of the manifold body is directed substantially toward interior walls of the annulus. For each of multiple precursor gases, the POU-valve manifold further includes: a first valve coupled to the manifold body and a divert valve coupled to the first valve. The first valve can be coupled to a precursor-gas supply and has a separate precursor-gas flow path internal to the manifold body. The divert valve diverts the precursor gas during a period when the precursor gas is not to be directed into the processing chamber by the first valve. Other examples are disclosed.
    Type: Grant
    Filed: January 30, 2019
    Date of Patent: August 30, 2022
    Assignee: Lam Research Corporation
    Inventors: Damodar Rajaram Shanbhag, Nagraj Shankar
  • Patent number: 11417561
    Abstract: An edge ring and process for fabricating an edge ring are disclosed herein. In one embodiment, an edge ring includes an annular body and a plurality of thermal breaks disposed within the annular body. The thermal breaks are disposed perpendicular to a center line of the annular body of the edge ring.
    Type: Grant
    Filed: January 24, 2020
    Date of Patent: August 16, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Govinda Raj, Kadthala Ramaya Narendrnath, Bopanna Ichettira Vasantha, Simon Yavelberg
  • Patent number: 11410863
    Abstract: A substrate processing device is provided with: a spin base disposed below a substrate grasped by a plurality of chuck members, the spin base transmitting the drive force of a spin motor to the chuck members; and a nozzle for supplying a processing fluid for processing the substrate to the top surface and/or bottom surface of the substrate. An IH heating mechanism of the substrate processing device has: a heat-generating member disposed between the substrate and the spin base; a heating coil disposed below the spin base; and an IH circuit for supplying electric power to the heating coil, whereby an alternating magnetic field applied to the heat-generating member is generated, and the heat-generating member is caused to generate heat.
    Type: Grant
    Filed: May 25, 2017
    Date of Patent: August 9, 2022
    Inventors: Motoyuki Shimai, Toyohide Hayashi, Akito Hatano
  • Patent number: 11396700
    Abstract: According to the technique of the disclosure, there is provided a substrate processing apparatus including: a substrate retainer; a heat insulating assembly; a process chamber; a gas supplier including openings bored toward the wafer; a gas discharger including main exhaust openings bored toward the wafer; an exhaust port; an intermediate exhaust opening provided on a side wall of the process chamber at a position facing the heat insulating assembly; and a supply chamber exhaust port provided on the side wall of the process chamber at a height corresponding to the intermediate exhaust opening. The heat insulating assembly includes a constriction at a position corresponding to the intermediate exhaust opening, wherein its outer diameter is smaller than that of a portion of the heat insulating assembly above the position and that of another portion of the heat insulating assembly below the position.
    Type: Grant
    Filed: January 8, 2021
    Date of Patent: July 26, 2022
    Assignee: Kokusai Electric Corporation
    Inventors: Sadao Hisakado, Tomoshi Taniyama
  • Patent number: 11390945
    Abstract: Exemplary embodiments of the disclosure provide improved reactor systems, assemblies, and methods for controlling a temperature within the reactor system, such as a temperature of a gas supply unit. Exemplary systems and methods employ an exhaust unit to cause movement of a fluid over a portion of the gas supply unit to better control the temperature of the gas supply unit.
    Type: Grant
    Filed: June 30, 2020
    Date of Patent: July 19, 2022
    Assignee: ASM IP Holding B.V.
    Inventors: SungHoon Jun, HeeChul Jung, YonJong Jeon
  • Patent number: 11387082
    Abstract: According to one embodiment, a plasma processing apparatus includes a chamber being possible to maintain an atmosphere more depressurized than atmospheric pressure, a plasma generator generating a plasma inside the chamber, a gas supplier supplying a gas into the chamber, a placement part positioned below a plasma generation region and placing a processed product thereon, a depressurization part depressurizing the chamber, and a power supply electrically connected to an electrode provided on the placement part via a bus bar. The bus bar is formed of an alloy of copper and gold. Gold is more included than copper on a surface side of the bus bar. The bus bar includes a first layer formed of copper and a second layer covering the first layer and formed of an alloy of copper and gold. Gold is more included than copper on a surface side of the second layer.
    Type: Grant
    Filed: September 25, 2019
    Date of Patent: July 12, 2022
    Assignee: SHIBAURA MECHATRONICS CORPORATION
    Inventor: Hidehito Azumano
  • Patent number: 11387084
    Abstract: The present invention relates to a dual-station vacuum processor that pumps uniformly, comprising two vacuum processing chambers that may act as a process processing chamber, and an offset-pumping port and a vacuum pump which are common to and communicate with the two vacuum processing chambers, wherein a damper having a set thickness in a vertical direction is provided in a region proximal to the offset-pumping port in each vacuum processing chamber, so as to lower a pumping rate of gas at the pumping port proximal end and balance the pumping rate with the pumping rate of the gas at the pumping port distal end, thereby ameliorating the impact of chamber offset on the uniformity process processing. The present invention may further provide, in a rib as the damper, a channel in communication with the atmospheric environment outside of the chamber, so as to facilitate connection between a cable pipeline in the chamber and the outside.
    Type: Grant
    Filed: December 27, 2017
    Date of Patent: July 12, 2022
    Assignee: ADVANCED MICRO-FABRICATION EQUIPMENT INC. CHINA
    Inventors: Yuejun Gong, Rason Zuo, Tuqiang Ni, Dee Wu, Ning Zhou, Kelvin Chen
  • Patent number: 11387083
    Abstract: According to one embodiment, a plasma processing apparatus includes a chamber, a plasma generator, a gas supplier supplying, a placement part, a depressurization part, and a supporting part. The supporting part includes a mounting part positioned below the placement part and provided with the placement part, and a beam extending from a side surface of the chamber toward a center axis of the chamber. One end of the beam is connected to a side surface of the mounting part. The beam includes a space connected to an outside space of the chamber. A following formula is satisfied, t1>t2, when a thickness of a side portion on the placement part side of side portions of the beam is taken as t1, a thickness of a side portion on an opposite side of the placement part side of the beam is taken as t2.
    Type: Grant
    Filed: September 25, 2019
    Date of Patent: July 12, 2022
    Assignee: SHIBAURA MECHATRONICS CORPORATION
    Inventor: Hidehito Azumano
  • Patent number: 11377730
    Abstract: Provided is a technique capable of suppressing the occurrence of by-products by suppressing adhesion of the by-products. A substrate processing apparatus includes: a reaction tube where a substrate is processed; a furnace opening unit disposed at a lower end of the reaction tube and having an upper surface and an inner circumferential surface, the furnace opening unit including: a concave portion disposed on the upper surface; and a convex portion having at least one notch connecting the concave portion to the inner circumferential surface; a cover covering at least the inner circumferential surface with a predetermined gap therebetween; and a gas supply unit configured to supply a gas to the concave portion.
    Type: Grant
    Filed: September 20, 2018
    Date of Patent: July 5, 2022
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Kosuke Takagi, Shinya Morita, Naonori Akae, Keishin Yamazaki
  • Patent number: 11377737
    Abstract: A semiconductor device comprising a manifold for uniform vapor deposition is disclosed. The semiconductor device can include a manifold comprising a bore and having an inner wall. The inner wall can at least partially define the bore. A first axial portion of the bore can extend along a longitudinal axis of the manifold. A supply channel can provide fluid communication between a gas source and the bore. The supply channel can comprise a slit defining an at least partially annular gap through the inner wall of the manifold to deliver a gas from the gas source to the bore. The at least partially annular gap can be revolved about the longitudinal axis.
    Type: Grant
    Filed: April 21, 2020
    Date of Patent: July 5, 2022
    Assignee: ASM IP HOLDING B.V.
    Inventor: David Marquardt
  • Patent number: 11367635
    Abstract: Provided are an apparatus and a method for treating a substrate at a high-pressure atmosphere. The apparatus for treating the substrate includes a first body and a second body combined with each other to define a treatment space in which the substrate is treated, a sealing member interposed between the first body and the second body to seal the treatment space from an outside at a position in which the first body is in close contact with the second body, and a driving member to drive the first body or the second body such that the treatment space is open or closed. The sealing member is positioned in a sealing groove formed in the first body. The sealing member is deformed to be in close contact with the second body by pressure of the treatment space when a process is performed.
    Type: Grant
    Filed: September 17, 2018
    Date of Patent: June 21, 2022
    Assignee: SEMES CO., LTD.
    Inventors: Sangmin Lee, Woo Young Kim, Joo Jib Park, Boong Kim
  • Patent number: 11365470
    Abstract: A method and coating system are provided that use a temperature controlled gas flow to smooth a surface of a ceramic, like a thermal barrier coating (TBC). Thermal spray coating unit coats a ceramic on a surface. The thermal spray coating unit creates a flow of ceramic material towards the surface. A layer of at least partially molten ceramic material on the surface is smoothed by transmitting a flow of temperature controlled gas across the at least partially molten ceramic material on the surface after the thermal spray coating of the ceramic on the surface. The solidified ceramic has a smoother surface that requires much less polishing to attain a desired surface roughness.
    Type: Grant
    Filed: January 8, 2020
    Date of Patent: June 21, 2022
    Assignee: General Electric Company
    Inventors: Gerhart LaMoyne Hanson, Martin Lewis Smith
  • Patent number: 11361939
    Abstract: Exemplary semiconductor processing systems may include a processing chamber, and may include a remote plasma unit coupled with the processing chamber. Exemplary systems may also include an adapter coupled with the remote plasma unit. The adapter may include a first end and a second end opposite the first end. The adapter may define a central channel through the adapter. The adapter may define an exit from a second channel at the second end, and the adapter may define an exit from a third channel at the second end. The central channel, the second channel, and the third channel may each be fluidly isolated from one another within the adapter.
    Type: Grant
    Filed: June 21, 2019
    Date of Patent: June 14, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Mehmet Tugrul Samir, Dongqing Yang, Dmitry Lubomirsky, Peter Hillman, Soonam Park, Martin Yue Choy, Lala Zhu
  • Patent number: 11355371
    Abstract: The present invention relates to a wafer storage container capable of removing fumes on a wafer or removing moisture therefrom by supplying purge gas to the wafer stored in a storage chamber. More particularly, the present invention relates to a wafer storage container capable of quickly blocking an injection hole and an exhaust hole and of preventing contaminants from flowing into a storage chamber upon the blocking of the exhaust hole.
    Type: Grant
    Filed: April 2, 2018
    Date of Patent: June 7, 2022
    Inventor: Bum Je Woo
  • Patent number: 11353792
    Abstract: A substrate processing apparatus includes: a processing chamber configured to process a substrate; a light source chamber including a light source configured to irradiate vacuum ultraviolet light onto a surface of the substrate; a gas supply configured to supply an inert gas into the light source chamber; and a controller that controls the gas supply to maintain the light source chamber in an inert gas atmosphere.
    Type: Grant
    Filed: May 20, 2019
    Date of Patent: June 7, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Norihisa Koga
  • Patent number: 11339473
    Abstract: An ALD apparatus includes a first process chamber configured to supply a first source gas and induce adsorption of a first material film. A second process chamber is configured to supply a second source gas and induce adsorption of a second material film. A third process chamber is configured to supply a third source gas and induce absorption of a third material film. A surface treatment chamber is configured to perform a surface treatment process on each of the first to third material films and remove a reaction by-product. A heat treatment chamber is configured to perform a heat treatment process on the substrate on which the first to third material films are adsorbed in a predetermined order and transform the first to third material films into a single compound thin film.
    Type: Grant
    Filed: July 25, 2019
    Date of Patent: May 24, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sang-Yub Ie, Guk-Hyon Yon, Jung-Geun Jee
  • Patent number: 11339474
    Abstract: An atomic layer deposition apparatus, having a first series of high pressure gas injection openings and a first series of exhaust openings that are positioned such that they together create a first high pressure/suction zone within each purge gas zone, wherein each first high pressure/suction zone extends over substantially the entire width of the process tunnel and wherein the distribution of the gas injection openings that are connected to the second purge gas source and the distribution of the gas exhaust openings within the first high pressure/suction zone, as well as the pressure of the second purge gas source and the pressure at the gas exhaust openings are such that the average pressure within the first high pressure/suction zone deviates less than 30% from a reference pressure which is defined by the average pressure within process tunnel when no substrate is present.
    Type: Grant
    Filed: March 30, 2020
    Date of Patent: May 24, 2022
    Assignee: ASM International N.V.
    Inventors: Ernst Hendrik August Granneman, Leilei Hu
  • Patent number: 11332829
    Abstract: Introduced here is a plasma polymerization apparatus and process. Example embodiments include a vacuum chamber in a substantially symmetrical shape relative to a central axis. A primary rotation shaft may be operable to rotate about the central axis of the vacuum chamber and a secondary rotation shaft may be operable to rotate about a secondary axis distal to the central axis. The primary and secondary rotation shafts may be mechanically connected, and one or more devices may be secured on a platform that rotates along both shafts. Additionally, reactive species discharge mechanisms positioned around a perimeter of the vacuum chamber may be configured to disperse reactive species into the vacuum chamber. The reactive species may form a uniform polymeric multi-layer coating on the surface of the one or more devices.
    Type: Grant
    Filed: May 30, 2019
    Date of Patent: May 17, 2022
    Assignee: Jiangsu Favored Nanotechnology Co., LTD
    Inventor: Jian Zong
  • Patent number: 11313746
    Abstract: A pressure sensor includes a movable electrode formed in a movable region of a diaphragm, and a fixed electrode formed opposite to the movable electrode. A pressure receiving surface of the diaphragm is held in an inactive state. The inactive pressure receiving surface of the diaphragm is in a state in which molecules of gas to be measured are hard to absorb onto the pressure receiving surface. The pressure receiving surface of the diaphragm can be made inactive by predetermined surface treatment. A layer for making the pressure receiving surface of the diaphragm inactive is formed by the surface treatment, and the pressure receiving surface of the diaphragm is held inactive with the presence of the layer.
    Type: Grant
    Filed: July 1, 2020
    Date of Patent: April 26, 2022
    Assignee: AZBIL CORPORATION
    Inventors: Masaru Soeda, Takuya Ishihara, Masashi Sekine
  • Patent number: 11306396
    Abstract: Disclosed is an oxide film forming device including a furnace body in which a workpiece is placed and a furnace cover. A mixed gas diffusion part is disposed on an inner side of the furnace cover via a shield plate. A mixed gas buffer space is provided in the mixed gas diffusion part. A shower head plate is disposed on the mixed gas diffusion part and opposed to the workpiece at a distance of 1 to 100 mm away from the workpiece. An ozone gas buffer space is provided in the furnace cover. A gas flow diffusion plate is disposed in the ozone gas buffer space. The shower head plate has formed therein first slits through which an ozone gas flows and second slits through which a mixed gas flows. The first slits and the second slits are alternately arranged side by side in a short-dimension direction of the slits.
    Type: Grant
    Filed: September 5, 2019
    Date of Patent: April 19, 2022
    Assignee: MEIDENSHA CORPORATION
    Inventors: Naoto Kameda, Toshinori Miura, Mitsuru Kekura
  • Patent number: 11302542
    Abstract: A processing apparatus includes: a plurality of process modules concatenated with one another; and a loader module configured to receive a carrier accommodating a plurality of substrates to be processed by the plurality of process modules, wherein each of the plurality of process modules includes: a heat treatment unit including a processing container configured to accommodate the plurality of substrates and perform a heat treatment on the plurality of substrates; and a gas supply unit disposed on one side surface of the heat treatment unit and configured to supply a gas into the processing container.
    Type: Grant
    Filed: January 8, 2020
    Date of Patent: April 12, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Masato Kadobe, Shinya Nasukawa, Hiromi Nitadori, Kazuyuki Kikuchi, Hirofumi Kaneko
  • Patent number: 11302565
    Abstract: A device for handling a semiconductor wafer in an epitaxy reactor has a susceptor; longitudinal holes extending through the susceptor; a wafer lifting shaft; wafer lifting pins guided through the longitudinal holes; a susceptor carrying shaft; susceptor carrying arms; susceptor support pins; guide sleeves anchored in the susceptor carrying arms; and guide elements protruding from the guide sleeves which, at upper ends, have bores into which wafer lifting pins are inserted, and which can be raised and lowered together with the wafer lifting pins by the wafer lifting shaft.
    Type: Grant
    Filed: July 3, 2017
    Date of Patent: April 12, 2022
    Assignee: SILTRONIC AG
    Inventors: Patrick Moos, Hannes Hecht
  • Patent number: 11299805
    Abstract: Implementations described herein protect a substrate support from corrosive cleaning gases used at high temperatures. In one embodiment, a substrate support has a shaft having an outer wall. The substrate support has a heater. The heater has a body having a top surface, a side surface and a bottom surface extending from the outer wall of the shaft. The top surface is configured to support a substrate during plasma processing of the substrate. A covering is provided for at least two of the top surface, side surface and bottom surface. The covering is selected to resist corrosion of the body at temperatures in excess of about 400 degrees Celsius. A sleeve circumscribing the shaft, the sleeve and the outer wall of the shaft forming a space therebetween, the space adapted to flow a purge gas therethrough in a direction toward the body.
    Type: Grant
    Filed: March 8, 2019
    Date of Patent: April 12, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Abdul Aziz Khaja, Ren-Guan Duan, Amit Kumar Bansal, Jianhua Zhou, Juan Carlos Rocha-Alvarez
  • Patent number: 11289308
    Abstract: A substrate processing apparatus includes a process chamber including a plasma generation region configured to receive at least one first process gas and have first radio-frequency (RF) power applied thereto, to generate plasma; a gas distribution region configured to supply the at least one first process gas to the plasma generation region; a gas mixing region configured to receive at least one second process gas and radicals generated in the plasma generation region to generate an etchant based on the radicals being mixed with the at least one second process gas; a pedestal on which a substrate is disposed; a processing region in which the pedestal is installed; and a shower head configured to supply the etchant from the gas mixing region to the processing region, the substrate disposed on the pedestal being processed by the etchant. The gas mixing region is separate from each of the plasma generation region and the processing region.
    Type: Grant
    Filed: April 28, 2020
    Date of Patent: March 29, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sangjin An, Minseop Park, Chanyeong Jeong, Sunggil Kang, Yeongkwang Lee
  • Patent number: 11279071
    Abstract: In particular embodiments, a process for producing bulked continuous carpet filament from recycled polymer utilizes two vacuum pumps (140A, 140B) in combination with a single extruder (100). In various embodiments, the dual vacuum arrangement (e.g., at least two vacuum pumps (140A, 140B)) operably coupled to the single extruder (e.g., MRS extruder (100)) may be configured to remove one or more impurities from recycled polymer as the recycled polymer passes through the extruder.
    Type: Grant
    Filed: March 2, 2018
    Date of Patent: March 22, 2022
    Assignee: Aladdin Manufacturing Corporation
    Inventor: Thomas R. Clark
  • Patent number: 11276559
    Abstract: Exemplary semiconductor processing systems may include a processing chamber, and may include a remote plasma unit coupled with the processing chamber. Exemplary systems may also include an adapter coupled with the remote plasma unit. The adapter may include a first end and a second end opposite the first end. The adapter may define a central channel through the adapter. The adapter may define an exit from a second channel at the second end, and the adapter may define an exit from a third channel at the second end. The central channel, the second channel, and the third channel may each be fluidly isolated from one another within the adapter.
    Type: Grant
    Filed: May 17, 2017
    Date of Patent: March 15, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Mehmet Tugrul Samir, Dongqing Yang, Dmitry Lubomirsky, Peter Hillman, Soonam Park, Martin Yue Choy, Lala Zhu
  • Patent number: 11274370
    Abstract: A process and apparatus is provided in which improved control of gas phase radicals is provided. In one embodiment, a system generating atomic oxygen is provided in which gases which generate the atomic oxygen are mixed prior to injection in a process space. The mixing may occur within a showerhead or prior to entrance into the showerhead. In another embodiment, a showerhead is provided which includes multiple zones. Some of the zones of the showerhead may inject the mixture of gases which generate the atomic oxygen into the process space, while other zones do not inject that mixture. In one embodiment, the mixture of gases which generates the atomic oxygen is injected into a main zone, while a subset of those gases is injected into inner and outer zones of the showerhead. The process and apparatus provides a uniform density of atomic oxygen across the substrate being processed.
    Type: Grant
    Filed: May 23, 2019
    Date of Patent: March 15, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Anthony Dip