Removal By Chemical Etching, E.g., Dry Etching (epo) Patents (Class 257/E21.245)
  • Patent number: 8084832
    Abstract: Embodiments relate to a semiconductor device and a method of manufacturing a semiconductor. In embodiments, the method may include a first exposure step of performing an exposure process for forming a first photoresist on a semiconductor substrate at one side of the outside of a trench pattern which will be formed, a first etching step of performing a predetermined dry etching method with respect to the first photoresist, a second exposure step of performing an exposure process for forming a second photoresist at the other side of the outside of the trench pattern, which is a side opposite to the first photoresist, and a second etching step of performing the predetermined dry etching method with respect to the second photoresist.
    Type: Grant
    Filed: September 15, 2009
    Date of Patent: December 27, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Young-Je Yun
  • Patent number: 8080475
    Abstract: Embodiments of the present invention describe a removal chemistry for removing hard mask. The removal chemistry is a wet-etch solution that removes a metal hard mask formed on a dielectric layer, and is highly selective to a metal conductor layer underneath the dielectric layer. The removal chemistry comprises an aqueous solution of hydrogen peroxide (H2O2), a hydroxide source, and a corrosion inhibitor. The hydrogen peroxide and hydroxide source have the capability to remove the hard mask while the corrosion inhibitor prevents the metal conductor layer from chemically reacting with the hydrogen peroxide and hydroxide source during the hard mask removal.
    Type: Grant
    Filed: January 23, 2009
    Date of Patent: December 20, 2011
    Assignee: Intel Corporation
    Inventors: Vijayakumar SubramanyaRao RamachandraRao, Kanwal Jit Singh
  • Patent number: 8071483
    Abstract: In a manufacturing process of a semiconductor device by forming a structure film on a substrate in a reaction chamber of a manufacturing apparatus, cleaning inside the reaction chamber is performed. That is, a precoat film made of a silicon nitride film containing boron is deposited on an inner wall of the reaction chamber, a silicon nitride film not containing boron is formed as the structure film on the substrate in the reaction chamber, and the inner wall of the reaction chamber is dry etched to be cleaned. At this time, the dry etching is terminated after boron is detected in a gas exhausted from the reaction chamber.
    Type: Grant
    Filed: September 22, 2009
    Date of Patent: December 6, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kenichiro Toratani, Takashi Nakao, Ichiro Mizushima
  • Patent number: 8003531
    Abstract: A method for manufacturing a flash memory device is capable of controlling a phenomenon in which a length of the channel between a source and a drain is decreased due to undercut. The method includes forming a gate electrode comprising a floating gate, an ONO film and a control gate using a hard mask pattern over a semiconductor substrate, forming a spacer over the sidewall of the gate electrode, forming an low temperature oxide (LTO) film over the entire surface of the semiconductor substrate including the gate electrode and the spacer, etching the LTO film such that a top portion of the source/drain region and a top portion of the gate electrode are exposed, and removing the LTO film present over the sidewall of the gate electrode by wet-etching.
    Type: Grant
    Filed: September 29, 2009
    Date of Patent: August 23, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Chung-Kyung Jung
  • Patent number: 7994066
    Abstract: A method is disclosed for the cleaning of a Si surface at low temperatures. Oxide on the Si surface is brought into contact with Ge, which then sublimates off the surface. The Ge contamination remaining after the oxide removal is cleared away by an exposure to an alkali halide. The disclosed cleaning method may by used in semiconductor circuit fabrication for preparing surfaces ahead of epitaxial growth.
    Type: Grant
    Filed: October 13, 2007
    Date of Patent: August 9, 2011
    Assignee: Luxtera, Inc.
    Inventors: Giovanni Capellini, Gianlorenzo Masini, Lawrence C. Gunn, III, Jeremy Witzens, Joseph W. White
  • Patent number: 7985690
    Abstract: A method for fabricating a semiconductor device is disclosed. The method includes providing a substrate; forming one or more gate structures over the substrate; forming a buffer layer over the substrate, including over the one or more gate structures; forming an etch stop layer over the buffer layer; forming a interlevel dielectric (ILD) layer over the etch stop layer; and removing a portion of the buffer layer, a portion of the etch stop layer, and a portion of the ILD layer over the one or more gate structures.
    Type: Grant
    Filed: June 4, 2009
    Date of Patent: July 26, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kong-Beng Thei, Harry Chuang, Su-Chen Lai, Gary Shen
  • Patent number: 7960289
    Abstract: An etching method is provided in which selective etching can be carried out for an amorphous oxide semiconductor film including at least one of gallium and zinc, and indium. In the etching method, the selective etching is performed using an alkaline etching solution. The alkaline etching solution contains especially ammonia in a specific concentration range.
    Type: Grant
    Filed: November 20, 2007
    Date of Patent: June 14, 2011
    Assignee: Canon Kabushiki Kaisha
    Inventor: Chienliu Chang
  • Patent number: 7947607
    Abstract: A virtual ground array structure uses inversion bit lines in order to eliminate the need for implanted bit lines. As a result, the cell size can be reduced, which can provide greater densities and smaller packaging.
    Type: Grant
    Filed: December 23, 2008
    Date of Patent: May 24, 2011
    Assignee: Macronix International Co., Ltd.
    Inventor: Chao-I Wu
  • Patent number: 7947548
    Abstract: A method includes forming elongate structures (5) on a first substrate (3), such that the material composition of each elongate structure (7) varies along its length so as to define first and second physically different sections in the elongate structures. First and second physically different devices (1, 2) are then defined in the elongate structures. Alternatively, the first and second physically different sections may be defined in the elongate structures after they have been fabricated. The elongate structures may be encapsulated and transferred to a second substrate (7). The invention provides an improved method for the formation of a circuit structure that requires first and second physically different devices (1,2) to be provided on a common substrate. In particular, only one transfer step is necessary.
    Type: Grant
    Filed: March 30, 2009
    Date of Patent: May 24, 2011
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Thomas Heinz-Helmut Altebaeumer, Stephen Day, Jonathan Heffernan
  • Patent number: 7943440
    Abstract: A method for fabricating a thin film device includes the step of forming a sacrificial layer on a first substrate. A portion other than a region of the sacrificial layer is selectively removed. A material film is formed on the sacrificial layer to be connected to the first substrate via the selectively removed region. The material film portion filled in the selectively removed region is provided as an anchor. A thin film lamination is formed on the material film. The desired thin film device is formed by using a selective etching process. After removing the sacrificial layer, the thin film device floats over the first substrate with being supported by the anchor. A support body is temporarily attached on the thin film lamination. The thin film device is transferred to the support body onto a second substrate.
    Type: Grant
    Filed: July 14, 2009
    Date of Patent: May 17, 2011
    Assignee: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Sang Jin Kim, Yongsoo Oh, Hwan-Soo Lee
  • Patent number: 7939438
    Abstract: Methods of inhibiting background plating on semiconductor substrates using oxidizing agents are disclosed.
    Type: Grant
    Filed: March 19, 2009
    Date of Patent: May 10, 2011
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Gary Hamm, David L. Jacques, Carl J. Colangelo
  • Publication number: 20110081782
    Abstract: Processes for forming high density gap-filling silicon oxide on a patterned substrate are described. The processes increase the density of gap-filling silicon oxide particularly in narrow trenches. The density may also be increased in wide trenches and recessed open areas. The densities of the gap-filling silicon oxide in the narrow and wide trenches/open areas become more similar following the treatment which allows the etch rates to match more closely. This effect may also be described as a reduction in the pattern loading effect. The process involves forming then planarizing silicon oxide. Planarization exposes a new dielectric interface disposed closer to the narrow trenches. The newly exposed interface facilitates a densification treatment by annealing and/or exposing the planarized surface to a plasma.
    Type: Application
    Filed: May 26, 2010
    Publication date: April 7, 2011
    Applicant: Applied Materials, Inc.
    Inventors: Jingmei Liang, Nitin K. Ingle, Shankar Venkataraman
  • Patent number: 7902001
    Abstract: Provided is a sacrifice layer formed on a first substrate. A thin film laminated body is formed on the sacrifice layer. A separation groove exposing the sacrifice layer is formed to divide the thin film laminated body into at least one thin film device. The sacrifice layer is partially removed using a dry etching process. After the partial removal of the sacrifice layer, a remaining sacrifice layer region maintains the thin film device on the first substrate. A supporting structure is temporarily joined to the thin film device. The thin film device joined to the supporting structure is separated from the first substrate. Then, the remaining sacrifice layer is removed. The thin film device joined to the supporting structure is joined to a second substrate. Finally, the supporting structure is separated from the thin film device.
    Type: Grant
    Filed: June 5, 2009
    Date of Patent: March 8, 2011
    Assignee: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Sang Jin Kim, Yongsoo Oh, Hwan-Soo Lee
  • Patent number: 7897503
    Abstract: A device having the capability for electrical, thermal, optical, and fluidic interconnections to various layers. Through-substrate vias in the interconnect device are filled to enable electrical and thermal connection or optionally hermetically sealed relative to other surfaces to enable fluidic or optical connection. Optionally, optical components may be placed within the via region in order to manipulate optical signals. Redistribution of electrical interconnection is accomplished on both top and bottom surfaces of the substrate of the interconnect chip.
    Type: Grant
    Filed: May 12, 2006
    Date of Patent: March 1, 2011
    Assignee: The Board of Trustees of the University of Arkansas
    Inventors: Ron B. Foster, Ajay P. Malshe, Matthew W. Kelley
  • Patent number: 7858529
    Abstract: The method of the present invention includes providing a semiconductor substrate with a recess; performing a pre-cleaning step on the semiconductor substrate; and performing a first reduction step, a lateral etching step and a second reduction step on the semiconductor substrate. The MOS structure includes a semiconductor substrate, a gate structure on the semiconductor substrate, a pair of recesses with beak sections extending to and under the gate structure, and a strain material filling the recess. The recess inside the semiconductor substrate processed by the method including the lateral etching step forms a beak section.
    Type: Grant
    Filed: December 18, 2006
    Date of Patent: December 28, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Chin-I Liao, Chin-Cheng Chien
  • Patent number: 7855404
    Abstract: A complementary BiCMOS semiconductor device comprises a substrate of a first conductivity type and a number of active regions which are provided therein and which are delimited in the lateral direction by shallow field insulation regions, in which vertical npn-bipolar transistors with an epitaxial base are arranged in a first subnumber of the active regions and vertical pnp-bipolar transistors with an epitaxial base are arranged in a second subnumber of the active regions, wherein either one transistor type or both transistor types have both a collector region and also a collector contact region in one and the same respective active region. To improve the high-frequency properties exclusively in a first transistor type in which the conductivity type of the substrate is identical to that of the collector region, an insulation doping region is provided between the collector region and the substrate.
    Type: Grant
    Filed: December 1, 2004
    Date of Patent: December 21, 2010
    Assignee: IHP GmbH—Innovations for High Performance Microelectronics/Leibniz-Instituit fur Innovative Mikroelektronik
    Inventors: Bernd Heinenman, Jürgen Drews, Steffen Marschmayer, Holger Rücker
  • Patent number: 7838379
    Abstract: In a phase change memory, electric property of a diode used as a selection device is extremely important. However, since crystal grain boundaries are present in the film of a diode using polysilicon, it involves a problem that the off leak property varies greatly making it difficult to prevent erroneous reading. For overcoming the problem, the present invention provides a method of controlling the temperature profile of an amorphous silicon in the laser annealing for crystallizing and activating the amorphous silicon thereby controlling the crystal grain boundaries. According to the invention, variation in the electric property of the diode can be decreased and the yield of the phase-change memory can be improved.
    Type: Grant
    Filed: January 29, 2009
    Date of Patent: November 23, 2010
    Assignee: Hitachi, Ltd.
    Inventors: Masaharu Kinoshita, Motoyasu Terao, Hideyuki Matsuoka, Yoshitaka Sasago, Yoshinobu Kimura, Akio Shima, Mitsuharu Tai, Norikatsu Takaura
  • Patent number: 7825029
    Abstract: A method for the patterned coating of a substrate with at least one surface is provided. The method is suitable for the rapid and inexpensive production of precise patterns. The method includes the steps of: producing at least one negatively patterned first coating on the at least one surface, depositing at least one second layer, which includes a material with a vitreous structure, on the surface, and at least partially removing the first coating.
    Type: Grant
    Filed: April 15, 2003
    Date of Patent: November 2, 2010
    Assignee: Schott AG
    Inventors: Jurgen Leib, Florian Bieck, Dietrich Mund
  • Patent number: 7820479
    Abstract: There is provided a method of mounting one conductive ball on each of a plurality of connection pads on a substrate. The method includes: (a) providing a pre-alignment base including: a support layer formed to allow a flux to pass therethrough; and an alignment layer provided on the support layer and having pockets for containing the conductive ball; (b) applying a paste containing the conductive balls dispersed in the flux onto the alignment layer such that each of the pockets receives one of the conductive balls together with the flux; (c) aligning the pre-alignment base with the substrate such that each of the pockets corresponds to one of the connections pads; and (d) transferring the paste contained in each of the pockets onto the connection pads, thereby mounting the conductive balls along with the flux on the connection pads.
    Type: Grant
    Filed: July 15, 2008
    Date of Patent: October 26, 2010
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventor: Hideaki Sakaguchi
  • Patent number: 7808026
    Abstract: Provision of a process capable of preferably etching particularly PtMn used for a pin layer of an MRAM is an object: a dry etching method for performing dry etching on a layer including platinum and/or manganese by using pulse plasma and a production method of an MRAM, wherein the dry etching method is applied to processing of the pin layer. The MRAM is configured to have a memory portion comprising a magnetic memory element composed of tunnel magnetoresistive effect element formed by stacking a magnetic fixed layer having a fixed magnetization direction, a tunnel barrier layer and a magnetic layer capable of changing the magnetization direction.
    Type: Grant
    Filed: May 27, 2008
    Date of Patent: October 5, 2010
    Assignees: Sony Corporation
    Inventors: Toshiaki Shiraiwa, Tetsuya Tatsumi, Seiji Samukawa
  • Patent number: 7799602
    Abstract: A semiconductor device is made by forming a build-up interconnect structure over a substrate. A semiconductor die is mounted to the build-up interconnect structure. The semiconductor die is electrically connected to the build-up interconnect structure. A ground pad is formed on the build-up interconnect structure. An encapsulant is formed over the semiconductor die and build-up interconnect structure. A shielding cage can be formed over the semiconductor die prior to forming the encapsulant. A shielding layer is formed over the encapsulant after forming the build-up interconnect structure to isolate the semiconductor die from inter-device interference. The shielding layer conforms to a geometry of the encapsulant and electrically connects to the ground pad. The shielding layer can be electrically connected to ground through a conductive pillar. The substrate is removed. A backside interconnect structure is formed over the build-up interconnect structure, opposite the semiconductor die.
    Type: Grant
    Filed: December 10, 2008
    Date of Patent: September 21, 2010
    Assignee: STATS ChipPAC, Ltd.
    Inventors: Reza A. Pagaila, Rui Huang, Yaojian Lin
  • Patent number: 7799580
    Abstract: A method for manufacturing a ferroelectric memory device includes the steps of: forming a ferroelectric capacitor on a substrate; forming a hydrogen barrier film that covers the ferroelectric capacitor; forming a dielectric film that covers the hydrogen barrier film; and forming a through hole that penetrates the dielectric film and the hydrogen barrier film by etching that uses a mixed gas containing perfluorocarbon gas and oxygen gas, wherein the flow quantity of the perfluorocarbon gas is 0.77 times or more but 3.8 times or less the flow quantity of the oxygen gas.
    Type: Grant
    Filed: July 14, 2008
    Date of Patent: September 21, 2010
    Assignee: Seiko Epson Corporation
    Inventors: Osamu Sakato, Takeshi Kokubun
  • Patent number: 7790563
    Abstract: A semiconductor device of the present invention is manufactured by the following steps: forming a single-crystal semiconductor layer over a substrate having an insulating surface; irradiating a region of the single-crystal semiconductor layer with laser light; forming a circuit of a pixel portion using a region of the single-crystal semiconductor layer which is not irradiated with the laser light; and forming a driver circuit for driving the circuit of the pixel portion using the region of the single-crystal semiconductor layer which is irradiated with the laser light. Thus, a semiconductor device using a single-crystal semiconductor layer which is suitable for a peripheral driver circuit region and a single-crystal semiconductor layer which is suitable for a pixel region can be provided.
    Type: Grant
    Filed: July 8, 2008
    Date of Patent: September 7, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Tetsuya Kakehata
  • Patent number: 7749913
    Abstract: A first silicon containing film, an organic material film, a second silicon containing film are formed. The second silicon containing film is patterned to have a narrow width pattern and a wide width pattern. The organic material film is patterned to have a narrow width pattern and a wide width pattern. A side wall is formed on a side surface of the second silicon containing film and the organic material film by coating with a third silicon containing film. The narrow width pattern of the second silicon containing film is removed by using a mask that covers the second silicon containing film patterned to have a wide width pattern and the side wall. Finally, the organic material film is removed.
    Type: Grant
    Filed: December 16, 2008
    Date of Patent: July 6, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Mitsuhiro Omura, Keisuke Kikutani, Yutaka Okamoto
  • Patent number: 7745302
    Abstract: A method for making transmission electron microscope gird is provided. An array of carbon nanotubes is provided and drawing a carbon nanotube film from the array of carbon nanotubes. A substrate has a plurality of spaced metal girds attached on the substrate. The metal girds are covered with the carbon nanotube film and treating the carbon nanotube film and the metal girds with organic solvent. A transmission electron microscope (TEM) grid is obtained by removing remaining CNT film.
    Type: Grant
    Filed: December 19, 2008
    Date of Patent: June 29, 2010
    Assignees: Tsinghua University, Hon Hai Precision Industry Co., Ltd.
    Inventors: Li-Na Zhang, Zhuo Chen, Chen Feng, Liang Liu, Kai-Li Jiang, Qun-Qing Li, Shou-Shan Fan
  • Patent number: 7727902
    Abstract: There is provided an underlayer coating that causes no intermixing with photoresist layer, can be formed by a spin-coating method, and can be used as a hard mask in lithography process of manufacture of semiconductor device. Concretely, it is an underlayer coating forming composition used in manufacture of semiconductor device including metal nitride particles having an average particle diameter of 1 to 1000 nm, and an organic solvent. The metal nitride particles contain at least one element selected from the group consisting of titanium, silicon, tantalum, tungsten, cerium, germanium, hafnium, and gallium.
    Type: Grant
    Filed: December 22, 2004
    Date of Patent: June 1, 2010
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Satoshi Takei, Yasushi Sakaida
  • Patent number: 7723150
    Abstract: A method for fabricating an image sensor, which includes the following steps, is provided. A semiconductor substrate including a sensor array, a pad and a passivation layer is provided, and the passivation layer covers the sensor array and the pad. An opening, which comprises tapered sidewalls not perpendicular to a bared surface of the pad, is formed in the semiconductor substrate to expose the pad. An under layer is formed on the semiconductor substrate, and covers the pad and the passivation layer. A color filter array is formed on the under layer and over the corresponding sensor array. A planar layer is formed on the color filter array. A portion of the under layer is removed to expose the pad. A plurality of U-lenses is formed on the planar layer.
    Type: Grant
    Filed: June 27, 2008
    Date of Patent: May 25, 2010
    Assignee: United Microelectronics Corp.
    Inventor: Cheng-Hung Yu
  • Patent number: 7709394
    Abstract: A method for processing a substrate having an insulation film and a metal layer thereon comprises the steps of supplying a carboxylic acid anhydride to the substrate, and heating the substrate during the step of supplying the carboxylic acid anhydride to the substrate.
    Type: Grant
    Filed: March 19, 2007
    Date of Patent: May 4, 2010
    Assignees: Tokyo Electron Limited, Fujitsu Limited, Ebara Corporation
    Inventors: Hidenori Miyoshi, Kenji Ishikawa, Yukio Takigawa, Yoshihiro Nakata, Hideki Tateishi
  • Patent number: 7687323
    Abstract: The method is disclosed as applied to roughening the light-emitting surface of an LED wafer for reduction of the internal total reflection of the light generated. A masking film of silver is first deposited on the surface of a wafer to be diced into LED chips. Then the masking film is heated to cause its coagulation into discrete particles. Then, using the silver particles as a mask, the wafer surface is dry etched to create pits therein. The deposition of silver on the wafer surface and its thermal coagulation into particles may be either successive or concurrent.
    Type: Grant
    Filed: April 16, 2008
    Date of Patent: March 30, 2010
    Assignee: Sanken Electric Co., Ltd.
    Inventors: Tetsuji Matsuo, Mikio Tazima, Takashi Kato
  • Patent number: 7687322
    Abstract: Techniques for fabricating metal devices, such as vertical light-emitting diode (VLED) devices, power devices, laser diodes, and vertical cavity surface emitting laser devices, are provided. Devices produced accordingly may benefit from greater yields and enhanced performance over conventional metal devices, such as higher brightness of the light-emitting diode and increased thermal conductivity. Moreover, the invention discloses techniques in the fabrication arts that are applicable to GaN-based electronic devices in cases where there is a high heat dissipation rate of the metal devices that have an original non- (or low) thermally conductive and/or non- (or low) electrically conductive carrier substrate that has been removed.
    Type: Grant
    Filed: October 11, 2006
    Date of Patent: March 30, 2010
    Assignee: SemiLEDs Optoelectronics Co., Ltd.
    Inventors: Trung Tri Doan, Chuong Anh Tran, Chen-Fu Chu, Chao-Chen Cheng, Jiunn-Yi Chu, Wen-Huang Liu, Hao-Chun Cheng, Feng-Hsu Fan, Jui-Kang Yen
  • Patent number: 7682956
    Abstract: The present invention relates, in general, to a method for three-dimensional (3D) microfabrication of complex, high aspect ratio structures with arbitrary surface height profiles in metallic materials, and to devices fabricated in accordance with this process. The method builds upon anisotropic deep etching methods for metallic materials previously developed by the inventors by enabling simplified realization of complex, non-prismatic structural geometries composed of multiple height levels and sloping and/or non-planar surface profiles. The utility of this approach is demonstrated in the fabrication of a sloping electrode structure intended for application in bulk micromachined titanium micromirror devices, however such a method could find use in the fabrication of any number of other microactuator, microsensor, microtransducer, or microstructure devices as well.
    Type: Grant
    Filed: June 1, 2006
    Date of Patent: March 23, 2010
    Assignee: The Regents of the University of California
    Inventors: Masaru P. Rao, Marco F. Aimi, Noel C. MacDonald
  • Patent number: 7652331
    Abstract: A semiconductor device includes a device isolation structure formed on a semiconductor substrate to define an active region. A first Si-based epitaxial pattern is formed over the active region corresponding to a bit line contact region and a portion of a gate region at both sides adjacent to the bit line contact region. A second Si-based epitaxial layer is formed over the semiconductor substrate which is stepped up on the first Si-based epitaxial pattern. A stepped gate pattern is formed over the stepped second Si-based epitaxial layer.
    Type: Grant
    Filed: July 9, 2007
    Date of Patent: January 26, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sang Don Lee
  • Patent number: 7645666
    Abstract: One or more embodiments relate to a method of making a heterojunction bipolar transistor (HBT) structure. The method includes: forming a partially completed heterojunction bipolar transistor (HBT) structure where the partially completed heterojunction bipolar transistor (HBT) structure includes a silicon layer having an exposed surface and a nitride layer having an exposed surface. The method includes growing a first oxide on the silicon layer and etching the nitride layer using an etchant.
    Type: Grant
    Filed: July 23, 2007
    Date of Patent: January 12, 2010
    Assignee: Infineon Technologies AG
    Inventor: Detlef Wilhelm
  • Patent number: 7642191
    Abstract: A method of forming a semiconductor structure is provided. The method includes providing a substrate and forming a mask layer on the substrate, Next, dielectric isolations are formed in the mask layer and the substrate, wherein the dielectric isolations extend above the substrate. Then, the mask layer is removed to expose a portion of the substrate, and a dielectric layer is formed on the exposed portion of the substrate. Subsequently, a first conductive layer is formed on the dielectric layer, and a portion of the dielectric isolation is removed, wherein a top surface of the remaining dielectric isolation is lower than a top surface of the first conductive layer. Moreover, a conformal layer is formed over the substrate, and a second conductive layer is formed on the conformal layer.
    Type: Grant
    Filed: January 24, 2008
    Date of Patent: January 5, 2010
    Assignee: Nanya Technology Corp.
    Inventors: Hung-Mine Tsai, Ching-Nan Hsiao, Chung-Lin Huang
  • Patent number: 7629262
    Abstract: In an embodiment, a method of forming a lower electrode of a capacitor in a semiconductor memory device includes etching a mold oxide layer to have at a cylindrical structure, resulting in an electrode with increased surface area. The cylindrical structure may have more than one radius. This increased surface area results in an increased capacitance. An excessive etch phenomenon, which occurs because a sacrificial oxide layer is etched at a higher rate than the mold oxide layer, is avoided.
    Type: Grant
    Filed: November 18, 2005
    Date of Patent: December 8, 2009
    Assignee: Samsung Electronic Co., Ltd.
    Inventors: Jung-Wook Kim, Young-Joo Cho
  • Patent number: 7622344
    Abstract: A method for manufacturing CMOS transistors includes an etching back process alternatively performed after the gate structure formation, the lightly doped drain formation, source/drain implantation, or SEG process to etch a hard mask layer covering and protecting a first type gate structure, and to reduce thickness deviation between the hard masks covering the first type gate structure and a second type gate structure. Therefore the damage to spacers, STIs, and the profile of the gate structures due to the thickness deviation is prevented.
    Type: Grant
    Filed: July 17, 2007
    Date of Patent: November 24, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Chia-Wen Liang, Cheng-Tung Huang, Shyh-Fann Ting, Chih-Chiang Wu, Shih-Chieh Hsu, Li-Shian Jeng, Kun-Hsien Lee, Meng-Yi Wu, Wen-Han Hung, Tzyy-Ming Cheng
  • Publication number: 20090286385
    Abstract: Methods for removing a photoresist from a metal-comprising material are provided. In accordance with an exemplary embodiment of the present invention, the method comprises applying to the photoresist a substantially non-aqueous-based solvent having a pH no less than about 9 or no pH and subsequently applying to the metal-comprising material an aqueous-based fluid having a pH no less than about 9.
    Type: Application
    Filed: May 19, 2008
    Publication date: November 19, 2009
    Applicant: ADVANCED MICRO DEVICES, INC.
    Inventors: Balgovind SHARMA, Ying H. TSANG
  • Patent number: 7608545
    Abstract: Embodiments relate to a semiconductor device and a method of manufacturing a semiconductor. In embodiments, the method may include a first exposure step of performing an exposure process for forming a first photoresist on a semiconductor substrate at one side of the outside of a trench pattern which will be formed, a first etching step of performing a predetermined dry etching method with respect to the first photoresist, a second exposure step of performing an exposure process for forming a second photoresist at the other side of the outside of the trench pattern, which is a side opposite to the first photoresist, and a second etching step of performing the predetermined dry etching method with respect to the second photoresist.
    Type: Grant
    Filed: July 20, 2007
    Date of Patent: October 27, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Young-Je Yun
  • Patent number: 7608537
    Abstract: A method for fabricating a semiconductor device, includes forming an opening in a first film, embedding an alignment mark material for alignment with an upper layer in the opening, forming a second film on the first film in which the alignment mark material is embedded, irradiating the second film formed in a predetermined region including a position where the alignment mark material is embedded with a processing light, thereby to remove the second film to an extent that a portion of the second film remains in the predetermined region, and exposing the portion of the second film remaining in the predetermined region to an etching environment for etching the second film.
    Type: Grant
    Filed: September 25, 2007
    Date of Patent: October 27, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Mie Matsuo, Hisashi Kaneko
  • Patent number: 7605071
    Abstract: Properties of a hard mask liner are used against the diffusion of a removal agent to prevent air cavity formation in specific areas of an interconnect stack. According to one embodiment, there is provided a method in which there is defined a portion on a surface of an IC interconnect stack as being specific to air cavity introduction, with the defined portion being smaller than the surface of the substrate. At least one metal track is produced within the interconnect stack, and there is deposited at least one interconnect layer having a sacrificial material and a permeable material within the interconnect stack. There is defined at least one trench area surrounding the defined portion and forming at least one trench, and a hard mask layer is deposited to coat the trench. At least one air cavity is formed below the defined portion of the surface by using a removal agent for removing the sacrificial material to which the permanent material is resistant.
    Type: Grant
    Filed: July 7, 2006
    Date of Patent: October 20, 2009
    Assignees: STMicroelectronics (Crolles 2) SAS, Koninklijke Philips Electronics N.V.
    Inventors: Joaquin Torres, Laurent-Georges Gosset
  • Patent number: 7601646
    Abstract: Manufacturing yield of integrated circuits having differentiated areas such as array and support areas of a memory is improved by reducing height/step height difference between structures in the respective differentiated areas and is particularly effective in conjunction with top-oxide-early (TOE) and top-oxide-late processes. A novel planarization technique avoids damage of active devices, isolation structures and the like due to scratching, chipping or dishing which is particularly effective to improve manufacturing yield using TON processes and also using TOE and TOL processes when average height/step height is substantially equalized. Alternative mask materials such as polysilicon may also be used to simplify and/or improve control of processes.
    Type: Grant
    Filed: July 21, 2004
    Date of Patent: October 13, 2009
    Assignee: International Business Machines Corporation
    Inventors: Deok-kee Kim, Ramachandra Divakaruni, Hiroyuki Akatsu, George Worth, Jay Strane, Byeong Kim
  • Patent number: 7601579
    Abstract: A method of manufacturing a semiconductor integrated circuit including a logic part and a memory array part, the logic part having N-type and P-type FETs, and the memory array part having N-type and P-type FETs, includes the steps of forming N-type and P-type FETs constituting the logic part and the memory array part, thereafter sequentially forming a first insulation film having a tensile stress and a second insulation film on the whole surface, selectively removing the second insulation film and the first insulation film present on the upper side of the region of the P-type FET constituting the logic part, then forming a third insulation film having a compressive stress on the whole surface, and thereafter selectively removing the third insulation film present on the upper side of the region of the N-type FET constituting the logic part and the third insulation film present on the upper side of the regions of the N-type and P-type FETs constituting the memory array part.
    Type: Grant
    Filed: December 5, 2007
    Date of Patent: October 13, 2009
    Assignee: Sony Corporation
    Inventor: Michihiro Kanno
  • Patent number: 7598503
    Abstract: A lithographic apparatus is disclosed. The apparatus includes a source for supplying hydrogen radicals, a guide for use in conjunction with the source, for directing hydrogen radicals to an application surface to be targeted by the hydrogen radicals. The guide is provided with a coating having a hydrogen radical recombination constant of less than 0.2. In this way, the radicals can be transported with reduced losses and are able to better interact with remaining contaminants on application surfaces, such as mirror surfaces.
    Type: Grant
    Filed: June 13, 2006
    Date of Patent: October 6, 2009
    Assignee: ASML Netherlands B.V.
    Inventors: Maarten Marinus Johannes Wilhelmus Van Herpen, Vadim Yevgenyevich Banine, Derk Jan Wilfred Klunder, Johannes Hubertus Josephina Moors
  • Publication number: 20090246959
    Abstract: Methods are provided for etching during fabrication of a semiconductor device. The method includes initially etching to partially remove a portion of one or more lithographic-aiding layers overlying an oxide layer while etching a first portion of the oxide layer in accordance with a mask formed by the one or more lithographic-aiding layers, and thereafter additionally etching to remove remaining portions of the one or more lithographic-aiding layers while etching a remaining portion of the oxide layer.
    Type: Application
    Filed: March 31, 2008
    Publication date: October 1, 2009
    Applicant: ADVANCED MICRO DEVICES, INC.
    Inventors: Erik GEISS, Christopher PRINDLE, Sven BEYER
  • Patent number: 7575962
    Abstract: Provided are a fin structure and a method of manufacturing a fin transistor adopting the fin structure. A plurality of mesa structures including sidewalls are formed on the substrate. A semiconductor layer is formed on the mesa structures. A capping layer is formed on the semiconductor layer. Thus, the semiconductor layer is protected by the capping layer and includes a portion which is to be formed as a fin structure. A portion of an upper portion of the capping layer is removed by planarizing, and thus a portion of the semiconductor layer on upper surfaces of the mesa structures is removed. As a result, fin structures are formed on sides of the mesa structures to be isolated from one another. Therefore, a fin structure having a very narrow width can be formed, and a thickness and a location of the fin structure can be easily controlled.
    Type: Grant
    Filed: July 16, 2007
    Date of Patent: August 18, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hans S. Cho, Young-soo Park, Wenxu Xianyu
  • Patent number: 7566596
    Abstract: A method of manufacturing a thin film transistor substrate includes forming a transistor thin layer pattern, forming a protecting layer, forming a photoresist film, forming a pixel electrode and a conductive layer that are separated from each other, stripping a photoresist pattern to remove the conductive layer using a stripping composition and dissolving the conductive layer. The method of manufacturing a thin film transistor substrate is capable of improving an efficiency of manufacturing process of the thin film transistor substrate. In addition, the stripping composition is recycled.
    Type: Grant
    Filed: October 19, 2007
    Date of Patent: July 28, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hong-Sik Park, Shi-Yul Kim, Jong-Hyun Choung, Won-Suk Shin
  • Patent number: 7560386
    Abstract: A method of manufacturing a nonvolatile semiconductor memory device may include forming a pad oxide layer pattern and a mask pattern on a semiconductor substrate, forming a trench within the semiconductor substrate with the mask pattern functioning as an etching mask, sequentially forming a first device isolation layer and a second device isolation layer that may fill the trench, forming an opening by removing the mask pattern to expose an upper surface of the pad oxide layer pattern and a sidewall of the second device isolation layer, and forming a floating gate forming region having a width wider than the opening by simultaneously removing the pad oxide layer pattern and a sidewall portion of the second device isolation layer exposed by the opening.
    Type: Grant
    Filed: March 7, 2007
    Date of Patent: July 14, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ji-hoon Cha, Woo-gwam Shim, Dong-gyun Han, Chang-ki Hong, Seung-pil Chung
  • Patent number: 7560389
    Abstract: A method for fabricating a semiconductor element on a semiconductor substrate having a support substrate and a semiconductor layer above the support substrate. The method includes preparing the semiconductor substrate having a transistor formation region and an element isolation region both defined thereon; forming a pad oxide film on the semiconductor layer of the semiconductor substrate; forming an oxidation-resistant mask layer on the pad oxide film; forming a resist mask to cover the transistor formation region on the oxidation-resistant mask layer; performing a first etching process for etching the oxidation-resistant mask layer using the resist mask as a mask to expose the pad oxide film of the element isolation region; and removing the resist mask and oxidizing the semiconductor layer below the exposed pad oxide film by LOCOS using the exposed oxidation-resistant mask layer as a mask to form an element isolation layer.
    Type: Grant
    Filed: May 8, 2006
    Date of Patent: July 14, 2009
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Kousuke Hara
  • Patent number: 7521368
    Abstract: The present invention provides a method for manufacturing a semiconductor device having high characteristic and reliability. The etching damage during dry etching after forming an electrode or a wiring over an insulating film is prevented. The damage is suppressed by forming a conductive layer so that charged particles due to plasma during dry etching are not generated in a semiconductor layer. Accordingly, it is an object of the invention to provide a method not for generating the deterioration of the transistor characteristic especially in a thin film transistor having a minute structure.
    Type: Grant
    Filed: May 4, 2005
    Date of Patent: April 21, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Tetsuji Yamaguchi, Etsuko Asano, Naomi Yazaki, Tomoya Futamura, Tomoko Nishikawa
  • Patent number: 7510972
    Abstract: A method of processing a substrate which enables a surface damaged layer and polishing remnants on the surface of an insulating film to be removed, and enable the amount removed of the surface damaged layer and polishing remnants to be controlled easily. An insulating film on a substrate, which has been revealed by chemical mechanical polishing, is exposed to an atmosphere of a mixed gas containing ammonia and hydrogen fluoride under a predetermined pressure. The insulating film which has been exposed to the atmosphere of the mixed gas is heated to a predetermined temperature.
    Type: Grant
    Filed: February 14, 2006
    Date of Patent: March 31, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Eiichi Nishimura, Kenya Iwasaki