Removal By Chemical Etching, E.g., Dry Etching (epo) Patents (Class 257/E21.245)
  • Patent number: 7504643
    Abstract: A cleaning arrangement for a lithographic apparatus module may be provided in a collector. The cleaning arrangement includes a hydrogen radical source configured to provide a hydrogen radical containing gas to at least part of the module and a pump configured to pump gas through the module such that a flow speed of the hydrogen radical containing gas provided through at least part of the module is at least 1 m/s. The cleaning arrangement may also include a gas shutter configured to modulate a flow of the hydrogen radical containing gas to at least part of the module, a buffer volume of at least 1 m3 in communication with the module, and a pump configured to provide a gas pressure in the buffer volume between 0.001 mbar (0.1 Pa) and 1 mbar (100 Pa). The cleaning arrangement may further include a gas return system.
    Type: Grant
    Filed: June 1, 2006
    Date of Patent: March 17, 2009
    Assignee: ASML Netherlands B.V.
    Inventors: Johannes Maria Freriks, Vadim Yevgenyevich Banine, Vladimir Vitalevitch Ivanov, Derk Jan Wilfred Klunder, Maarten Marinus Johannes Wilhelmus Van Herpen
  • Publication number: 20090065817
    Abstract: The present invention relates to semiconductor devices, and more particularly to a process and structure for removing a dielectric spacer selective to a surface of a semiconductor substrate with substantially no removal of the semiconductor substrate. The method of the present invention can be integrated into a conventional CMOS processing scheme or into a conventional BiCMOS processing scheme. The method includes forming a field effect transistor on a semiconductor substrate, the FET comprising a dielectric spacer and the gate structure, the dielectric spacer located adjacent a sidewall of the gate structure and over a source/drain region in the semiconductor substrate; depositing a first nitride layer over the FET; and removing the nitride layer and the dielectric spacer selective to the semiconductor substrate with substantially no removal of the semiconductor substrate.
    Type: Application
    Filed: September 10, 2007
    Publication date: March 12, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Eduard A. Cartier, Rashmi Jha, Sivananda Kanakasabapathy, Xi Li, Renee T. Mo, Vijay Narayanan, Vamsi Paruchuri, Mark T. Robson, Kathryn T. Schonenberg, Michelle L. Steen, Richard Wise, Ying Zhang
  • Patent number: 7501326
    Abstract: A method for forming an isolation layer of a semiconductor device using a shallow trench isolation method is provided. The method includes: vertically etching a region of an insulating layer and a part of a semiconductor substrate corresponding thereto to form a trench; depositing an oxide layer on an entire surface of the semiconductor substrate to fill the trench; plasma-sputtering at least a surface part of the oxide layer; and removing the oxide layer using chemical mechanical polishing (CMP) so that the oxide layer remains only in the trench. The method may remove sharp parts of the oxide layer and reduce or prevent the occurrence of scratches during the CMP process.
    Type: Grant
    Filed: December 19, 2006
    Date of Patent: March 10, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jong Taek Hwang
  • Patent number: 7501348
    Abstract: A method for forming a semiconductor structure having a deep sub-micron or nano scale line-width is disclosed. Structure consisting of multiple photoresist layers is first formed on the substrate, then patterned using adequate exposure energy and development condition so that the bottom photoresist layer is not developed while the first under-cut resist groove is formed on top of the bottom photoresist layer. Anisotropic etching is then performed at a proper angle to the normal of the substrate surface, and a second resist groove is formed by the anisotropic etching. Finally, the metal evaporation process and the lift-off process are carried out and the ?-shaped metal gate with nano scale line-width can be formed.
    Type: Grant
    Filed: April 10, 2007
    Date of Patent: March 10, 2009
    Assignee: National Chiao Tung University
    Inventors: Szu-Hung Chen, Yi-Chung Lien, Yi Edward Chang
  • Patent number: 7494827
    Abstract: The plasma etching method first forms a coating film on the inner surface of the chamber. Next, an etching process is performed on a wafer under a condition in which the coating film is formed, and thereafter a reaction product adhered onto the coating film in the etching process is removed together with the coating film. Each of these processes is implemented at a frequency in which the condition of the chamber inner surface is nearly always the same at the time of initiating the etching process.
    Type: Grant
    Filed: June 21, 2006
    Date of Patent: February 24, 2009
    Assignee: Panasonic Corporation
    Inventors: Mitsuhiro Ohkuni, Keiichi Matsunaga
  • Patent number: 7495239
    Abstract: A cleaning arrangement for a lithographic apparatus module may be provided in a collector. The cleaning arrangement includes a hydrogen radical source configured to provide a hydrogen radical containing gas to at least part of the module and a pump configured to pump gas through the module such that a flow speed of the hydrogen radical containing gas provided through at least part of the module is at least 1 m/s. The cleaning arrangement may also include a gas shutter configured to modulate a flow of the hydrogen radical containing gas to at least part of the module, a buffer volume of at least 1 m3 in communication with the module, and a pump configured to provide a gas pressure in the buffer volume between 0.001 mbar (0.1 Pa) and 1 mbar (100 Pa).
    Type: Grant
    Filed: December 22, 2005
    Date of Patent: February 24, 2009
    Assignee: ASML Netherlands B.V.
    Inventors: Johannes Maria Freriks, Vadim Yevgenyevich Banine, Vladimir Vitalevitch Ivanov
  • Patent number: 7491600
    Abstract: A method for making a multibit non-volatile memory cell structure is provided herein. In accordance with the method, a semiconductor substrate (101) is provided, and first and second sets of memory stacks (103, 105, 107, and 109) are formed on the substrate, each memory stack including a control gate (111) and a layer of memory material (113). A source/drain region (123) is then formed between the first and second sets of memory stacks, and a silicide layer (125) is formed over the source/drain region.
    Type: Grant
    Filed: November 4, 2005
    Date of Patent: February 17, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Erwin J. Prinz, Gowrishankar L. Chindalore, Paul A. Ingersoll
  • Patent number: 7488692
    Abstract: Fabrication of a light emitting device includes etching of a substrate of the light emitting device. The etch may be an aqueous etch sufficient to increase an amount of light extracted through the substrate. The etch may be a direct aqueous etch of a silicon carbide substrate. The etch may remove damage from the substrate that results from other processing of the substrate, such as damage from sawing the substrate. The etch may remove an amorphous region of silicon carbide in the substrate.
    Type: Grant
    Filed: February 26, 2007
    Date of Patent: February 10, 2009
    Assignee: Cree, Inc.
    Inventor: Gerald H. Negley
  • Publication number: 20090029555
    Abstract: Multi-step selective etching. Etching an unmasked region associated with each layer of a plurality of layers, the plurality of layers comprising a stack, wherein the unmasked region of each of the plurality of layers is etched while exposed to a temperature, a pressure, a vacuum, using a plurality of etchants, wherein at least one of the plurality of etchants comprises an inert gas and oxygen, wherein the etchant oxidizes the at least one layer that can be oxidized such that the etching stops, the plurality of etchants leaving substantially unaffected a masked region associated with each layer of the plurality of layers, wherein two or more of the plurality of layers comprises a memory stack, and preventing corrosion of at least one of the plurality of layers comprising a conductive metal oxide by supplying oxygen to the stack after etching the unmasked region without breaking the vacuum.
    Type: Application
    Filed: July 26, 2007
    Publication date: January 29, 2009
    Applicant: UNITY SEMICONDUCTOR CORPORATION
    Inventors: Travis Byonghyop Oh, Jonathan Bornstein
  • Patent number: 7482247
    Abstract: Conformal nanolaminate dielectric deposition and etch back processes that can fill high aspect ratio (typically at least 5:1, for example 6:1), narrow width (typically sub 0.13 micron, for example 0.1 micron or less) gaps with significantly reduced incidence of voids or weak spots involve the use of any suitable confirmal dielectric deposition technique and a dry etch back. The etch back part of the process involves a single step or an integrated multi-step (for example, two-step) procedure including an anisotropic dry etch followed by an isotropic dry etch. The all dry deposition and etch back process in a single tool increases throughput and reduces handling of wafers resulting in more efficient and higher quality nanolaminate dielectric gap fill operations.
    Type: Grant
    Filed: September 19, 2006
    Date of Patent: January 27, 2009
    Assignee: Novellus Systems, Inc.
    Inventors: George D. Papasouliotis, Raihan M. Tarafdar, Ron Rulkins, Dennis M. Hausmann, Jeff Tobin, Adrianne K. Tipton, Bunsen Nie, Wai-Fan Yau, Brian G. Lu, Timothy M. Archer, Sasson Roger Somekh
  • Patent number: 7482225
    Abstract: A method of fabricating a floating gate of a flash memory device is provided. The method includes: forming a tunneling oxide layer on a substrate; forming a conductive thin layer on the tunneling oxide layer; applying a photoresist on the conductive thin layer; defining a floating gate region by patterning the photoresist; forming polymer sidewalls on the sides of the patterned photoresist; and selectively removing the conductive thin layer using the photoresist and the polymer sidewalls as a mask to form a floating gate.
    Type: Grant
    Filed: June 23, 2006
    Date of Patent: January 27, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventors: Kang Hyun Lee, Jeong Yel Jang
  • Publication number: 20080280448
    Abstract: A method of manufacturing gate oxide layers with different thicknesses is disclosed. The method includes that a substrate is provided first. The substrate has a high voltage device region and a low voltage device region. Then, a high voltage gate oxide layer is formed on the substrate. Afterwards, a first wet etching process is performed to remove a portion of the high voltage gate oxide layer in the low voltage device region. Then, a second wet etching process is performed to remove the remaining high voltage gate oxide layer in the low voltage device region. The etching rate of the second wet etching process is smaller than that of the first wet etching process. Next, a low voltage gate oxide layer is formed on the substrate in the low voltage device region.
    Type: Application
    Filed: May 11, 2007
    Publication date: November 13, 2008
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Shu-Chun Chan, Jung-Ching Chen, Shyan-Yhu Wang
  • Patent number: 7439118
    Abstract: A method of manufacturing a semiconductor integrated circuit including a logic part and a memory array part, the logic part having N-type and P-type FETs, and the memory array part having N-type and P-type FETs, includes the steps of forming N-type and P-type FETs constituting the logic part and the memory array part, thereafter sequentially forming a first insulation film having a tensile stress and a second insulation film on the whole surface, selectively removing the second insulation film and the first insulation film present on the upper side of the region of the P-type FET constituting the logic part, then forming a third insulation film having a compressive stress on the whole surface, and thereafter selectively removing the third insulation film present on the upper side of the region of the N-type FET constituting the logic part and the third insulation film present on the upper side of the regions of the N-type and P-type FETs constituting the memory array part.
    Type: Grant
    Filed: February 17, 2006
    Date of Patent: October 21, 2008
    Assignee: Sony Corporation
    Inventor: Michihiro Kanno
  • Patent number: 7432217
    Abstract: In a method of achieving uniform lengths of Carbon NanoTubes (CNTs) and a method of manufacturing a Field Emission Device (FED) using such CNTs, an organic film is coated to cover CNTs formed on a predetermined material layer. The organic film is etched to a predetermined depth to remove projected portions of the CNTs. After that, the organic film is removed.
    Type: Grant
    Filed: June 23, 2006
    Date of Patent: October 7, 2008
    Assignee: Samsung SDI Co., Ltd.
    Inventors: Ha-Jin Kim, In-Taek Han
  • Patent number: 7411220
    Abstract: A semiconductor light emitting device can have stable electric characteristics and can emit light with high intensity from a substrate surface. The device can include a transparent substrate and a semiconductor layer on the substrate. The semiconductor layer can include a first conductive type semiconductor layer, a luminescent layer, a second conductive type semiconductor layer, and first and second electrodes disposed to make contact with the first and second conductive type semiconductor layers, respectively. The first conductive type semiconductor layer, the luminescent layer, and the second conductive type semiconductor layer can be laminated in order from the side adjacent the substrate. An end face of the semiconductor layer can include a first terrace provided in an end face of the first conductive type semiconductor layer in parallel with the substrate surface, and an inclined end face region provided nearer to the substrate than the first terrace.
    Type: Grant
    Filed: June 17, 2005
    Date of Patent: August 12, 2008
    Assignee: Stanley Electric Co. Ltd.
    Inventors: Naochika Horio, Munehiro Kato, Masahiko Tsuchiya, Satoshi Tanaka
  • Patent number: 7396733
    Abstract: A method for manufacturing a semiconductor substrate, including: forming a first semiconductor layer on a semiconductive base; forming a second semiconductor layer, having a smaller etching selection ratio than that of the first semiconductor layer, on the first semiconductor layer; removing part of the first semiconductor layer and the second semiconductor layer in the vicinity of device region, so as to form a support hole that exposes the semiconductive base; forming a support forming layer on the semiconductive base, so that the support hole is buried and the second semiconductor layer is covered; leaving an region that includes the support hole and the element region, etching the rest, so that an exposed surface is formed, where a part of edges of a support, the first semiconductor layer, and of the second semiconductor layer located at the lower side of the support are exposed; forming a cavity between the second semiconductor layer and the semiconductive base by etching the first semiconductor layer th
    Type: Grant
    Filed: November 29, 2006
    Date of Patent: July 8, 2008
    Assignee: Seiko Epson Corporation
    Inventor: Kei Kanemoto
  • Patent number: 7393768
    Abstract: The present invention relates to a method for the patterning of a stack of layers on a surface with high topography. A method of the present invention can be used for gate patterning for multiple Gate FETs (MuGFETs), for patterning of the control gate in non-volatile memory applications, and for the patterning of the poly emitter in BiCMOS devices. The present invention also relates to a device prepared by a method of the invention.
    Type: Grant
    Filed: September 14, 2005
    Date of Patent: July 1, 2008
    Assignee: Interuniversitair Microelektronica Centrum (IMEC) vzw
    Inventor: Bart Degroote
  • Patent number: 7378341
    Abstract: Automatic process control of after-etch-inspection critical dimension. A dielectric layer is deposited over a substrate and is then planarized to a first thickness. A cap oxide layer having a second thickness is deposited, wherein the combination of the first thickness and the second thickness is substantially constant. An ADI CD of a contact hole to be formed on the substrate is altered and pre-determined based on the second thickness of the cap oxide layer. A photoresist layer is formed on the cap oxide layer. An opening having the predetermined ADI CD is formed in the photoresist layer. Using the photoresist layer as an etching mask, the cap oxide layer and the dielectric layer is etched through the opening to form a contact hole having an AEI CD.
    Type: Grant
    Filed: May 8, 2006
    Date of Patent: May 27, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Pei-Yu Chou, Wen-Chou Tsai, Jiunn-Hsiung Liao
  • Patent number: 7365017
    Abstract: A method for finishing a metal line for a semiconductor device is disclosed, in which polymer generated when forming the metal line including aluminum and its alloy is effectively removed and the metal line is prevented from being eroded. A chlorine radical and a chlorine compound remaining on a surface of the metal line are removed using H2O plasma and the polymer is removed using H2O gas and HF gas not plasma. Therefore, it is possible to improve reliability and yield of the semiconductor device.
    Type: Grant
    Filed: July 14, 2005
    Date of Patent: April 29, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Bo Yeoun Jo
  • Publication number: 20080090419
    Abstract: A method of forming hard mask employs a double patterning technique. A first hard mask layer is formed on a substrate, and a first sacrificial pattern is formed on the first hard mask layer by photolithography. Features of the first sacrificial pattern are spaced from one another by a first pitch. A second hard mask layer is then formed conformally on the first sacrificial pattern and the first hard mask layer so as to delimit recesses between adjacent features of the first sacrificial pattern. Upper portions of the second hard mask layer are removed to expose the first sacrificial pattern, and the exposed first sacrificial pattern and the second sacrificial pattern are removed. The second hard mask layer and the first hard mask layer are then etched to form a hard mask composed of residual portions of the first hard mask layer and the second hard mask layer.
    Type: Application
    Filed: March 23, 2007
    Publication date: April 17, 2008
    Inventors: Cha-won Koh, Han-ku Cho, Jeong-lim Nam, Gi-sung Yeo, Joon-soo Park, Ji-young Lee
  • Publication number: 20080081478
    Abstract: The invention discloses a planarization method for a wafer having a surface layer with a recess, comprises: forming an etching-resist layer on the surface layer to fill the entire recess; etching the etching-resist layer and the surface layer, till the surface layer outside the recess is flush to or lower than the bottom of the recess, the etching speed of the surface layer being higher than that of the etching-resist layer; removing the etching-resist layer; and etching the surface layer to a predetermined depth. The method can avoid concentric ring recesses on the surface of the wafer resulted from a chemical mechanical polishing (CMP) process in the prior art, and can be used to obtain a wafer surface suitable for optical applications.
    Type: Application
    Filed: September 10, 2007
    Publication date: April 3, 2008
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Herb He Huang, Xianyong Pu, Yi'nan Han, Yiqun Chen
  • Publication number: 20080081466
    Abstract: A method for fabricating a semiconductor device, includes forming an opening in a first film, embedding an alignment mark material for alignment with an upper layer in the opening, forming a second film on the first film in which the alignment mark material is embedded, irradiating the second film formed in a predetermined region including a position where the alignment mark material is embedded with a processing light, thereby to remove the second film to an extent that a portion of the second film remains in the predetermined region, and exposing the portion of the second film remaining in the predetermined region to an etching environment for etching the second film.
    Type: Application
    Filed: September 25, 2007
    Publication date: April 3, 2008
    Inventors: Mie MATSUO, Hisashi KANEKO
  • Patent number: 7348231
    Abstract: Methods of fabricating semiconductor devices are provided. An NMOS transistor and a PMOS transistor are provided on a substrate. The NMOS transistor is positioned on an NMOS region of the substrate and the PMOS transistor is positioned on a PMOS region of the substrate. A first insulating layer is provided on the NMOS transistor. The first insulating layer has a first compressive stress. A second insulating layer is provided on the PMOS transistor. The second insulating layer has a second compressive stress and a stress relief ratio higher than a stress relief ratio of the first insulating layer. A thermal treatment process is performed on the first insulating layer and the second insulating layer such that the second compressive stress of the second insulating layer is lower than the first compressive stress of the first insulating layer. Related devices are also provided.
    Type: Grant
    Filed: December 30, 2005
    Date of Patent: March 25, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyung-Shin Kwon, Dong-Won Lee, Jun-Beom Park
  • Publication number: 20080070393
    Abstract: In a manufacturing process of a semiconductor device, a manufacturing technique for reducing the number of lithography processes that use a photoresist and simplifying the process is provided, which improves throughput. An etching mask for forming a pattern of a layer to be processed such as a conductive layer or a semiconductor layer is manufactured without using a lithography technique that uses a photoresist. The etching mask is formed of a light absorption layer including a material which absorbs a laser beam. The mask is formed by irradiating the light absorption layer with a laser beam through a photomask and utilizing laser ablation by energy of the laser beam absorbed by the light absorption layer.
    Type: Application
    Filed: August 15, 2007
    Publication date: March 20, 2008
    Inventors: Hidekazu Miyairi, Yasuhiro Jinbo, Eiji Higa, Shunpei Yamazaki
  • Publication number: 20080070415
    Abstract: A resist film is applied to an entire surface and subjected to patterning substantially in the same form as an opening to bury the resist film inside the opening. When a positive resist is used, a photomask having a light-shielding portion with an area smaller than the opening is used in patterning. When a negative resist is used, a photomask having a light transmitting portion with an area smaller than the opening is used.
    Type: Application
    Filed: November 6, 2007
    Publication date: March 20, 2008
    Applicant: RENESAS TECHNOLOGY CORP.
    Inventor: Sachiko Hattori
  • Patent number: 7341935
    Abstract: A semiconductor interconnect structure includes an organic and/or photosensitive etch buffer layer disposed over a contact surface. The structure further provides an interlevel dielectric formed over the etch buffer layer. A method for forming an interconnect structure includes etching to form an opening in the interlevel dielectric, the etching operation being terminated at or above the etch buffer layer. The etch buffer layer is removed to expose the contact surface using a removal process that may include wet etching, ashing or DUV exposure followed by developing or other techniques that do not result in damage to contact surface. The contact surface may be a conductive material such as silicide, salicide or a metal alloy.
    Type: Grant
    Filed: June 25, 2004
    Date of Patent: March 11, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ju-Wang Hsu, Jyu-Horng Shieh, Yi-Chun Huang
  • Publication number: 20080057721
    Abstract: A method of fabricating a semiconductor device including at least one of the following steps: forming an oxide layer on and/or over a silicon substrate. Forming a first photoresist pattern on and/or over the oxide layer. Forming a trench by etching the oxide layer and the substrate using the first photoresist pattern as a mask. Removing the first photoresist pattern. Filling the trench with a trench oxide layer. Planarizing the trench oxide layer. Forming an etch stop layer on and/or over the trench oxide layer. Forming a second photoresist pattern on and/or over the etch stop layer. Etching the etch stop layer and the trench oxide layer using the second photoresist pattern as an etch mask. Removing the second photoresist pattern and the etch stop layer.
    Type: Application
    Filed: August 30, 2007
    Publication date: March 6, 2008
    Inventor: Hyun-Ju Lim
  • Publication number: 20080026587
    Abstract: Embodiments relate to a semiconductor device and a method of manufacturing a semiconductor. In embodiments, the method may include a first exposure step of performing an exposure process for forming a first photoresist on a semiconductor substrate at one side of the outside of a trench pattern which will be formed, a first etching step of performing a predetermined dry etching method with respect to the first photoresist, a second exposure step of performing an exposure process for forming a second photoresist at the other side of the outside of the trench pattern, which is a side opposite to the first photoresist, and a second etching step of performing the predetermined dry etching method with respect to the second photoresist.
    Type: Application
    Filed: July 20, 2007
    Publication date: January 31, 2008
    Inventor: Young-Je Yun
  • Publication number: 20080009138
    Abstract: A method for forming a pattern of a semiconductor device comprises sequentially forming a carbon-rich polymer, an antireflection film containing silicon, and a photoresist film over a semiconductor substrate. A double patterning process is then performed. The double patterning process may be a negative tone double patterning process or a positive tone double patterning process.
    Type: Application
    Filed: April 24, 2007
    Publication date: January 10, 2008
    Applicant: Hynix Semiconductor Inc.
    Inventor: Ki Lyoung Lee
  • Publication number: 20080003835
    Abstract: Disclosed is a method for fabricating a semiconductor device. The method can include forming a first barrier pattern to cover a first region of a semiconductor substrate while exposing second and third regions of the semiconductor substrate, forming a first oxide layer pattern on the second and third regions, forming a second barrier pattern to cover the third region while exposing the first and second regions, forming a second oxide layer pattern on the first and second regions, forming a third oxide layer pattern on the second region by removing the second and first oxide layer patterns formed on the first and third regions, forming a silicide metal layer on the first, second, and third regions, and selectively forming silicide on the first and third regions by performing an annealing process with respect to the silicide metal layer.
    Type: Application
    Filed: June 28, 2007
    Publication date: January 3, 2008
    Inventor: DONG YEAL KEUM
  • Patent number: 7300827
    Abstract: A method of manufacturing a thin film transistor substrate includes forming a transistor thin layer pattern, forming a protecting layer, forming a photoresist film, forming a pixel electrode and a conductive layer that are separated from each other, stripping a photoresist pattern to remove the conductive layer using a stripping composition and dissolving the conductive layer. The method of manufacturing a thin film transistor substrate is capable of improving an efficiency of manufacturing process of the thin film transistor substrate. In addition, the stripping composition is recycled.
    Type: Grant
    Filed: August 30, 2005
    Date of Patent: November 27, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hong-Sick Park, Shi-Yul Kim, Jong-Hyun Choung, Won-Suk Shin
  • Patent number: 7294552
    Abstract: A method for making a subsurface electrical contact on a micro-electrical-mechanical-systems (MEMS) device. The contact is formed by depositing a layer of polycrystalline silicon onto a surface within a cavity buried under a device silicon layer. The polycrystalline silicon layer is deposited in the cavity through holes etched through the device silicon and reseals the cavity during the polycrystalline silicon deposition step. The polycrystalline silicon layer can then be masked and etched, or etched back to expose the device layer of the micromachined device. Through the layer of polycrystalline silicon, a center hub of the device may be electrically contacted.
    Type: Grant
    Filed: August 29, 2005
    Date of Patent: November 13, 2007
    Assignee: Delphi Technologies, Inc.
    Inventor: John C. Christenson
  • Patent number: 7285497
    Abstract: A mask includes a silicon member, and a portion defining an opening penetrating the silicon member; and the corner of the opening is rounded.
    Type: Grant
    Filed: March 30, 2005
    Date of Patent: October 23, 2007
    Assignee: Seiko Epson Corporation
    Inventor: Shinichi Yotsuya
  • Patent number: 7271063
    Abstract: A method of forming a NAND Flash memory device includes forming a control gate polysilicon layer over a substrate, forming a mask layer over the control gate polysilicon layer, the mask layer including a mask pattern defining a plurality of spaced word lines of the FLASH memory device, the word lines being spaced from each other a distance less than a minimum feature size which can be imaged by a selected photolithography process used in forming at least a portion of the mask layer pattern, and etching the control gate polysilicon layer through the mask layer.
    Type: Grant
    Filed: October 13, 2005
    Date of Patent: September 18, 2007
    Assignee: Elite Semiconductor Memory Technology, Inc.
    Inventor: Chen Chung-Zen
  • Patent number: 7259076
    Abstract: A method for fabricating a high-density silicon-on-insulator (SOI) cross-point memory array and an array structure are provided. The method includes the following steps: selectively forming a hard mask on an SOI substrate, defining memory areas, active device areas, and top electrode areas; etching to remove the exposed silicon (Si) surfaces; selectively forming metal sidewalls adjacent the hard mask; filling the memory areas with memory resistor material; removing the hard mask, exposing the underlying Si active device areas; forming an overlying layer of oxide; etching the oxide to form contact holes to the active device areas; forming diodes in the contact holes; and, forming bottom electrode lines overlying the diodes.
    Type: Grant
    Filed: August 31, 2005
    Date of Patent: August 21, 2007
    Assignee: Sharp Laboratories of America, Inc.
    Inventor: Sheng Teng Hsu
  • Patent number: 7224026
    Abstract: Diode devices with superior and pre-settable characteristics and of nanometric dimensions, comprise etched insulative lines (8, 16, 18) in a conductive substrate to define between the lines charge carrier flow paths, formed as elongate channels (20) at least 100 nm long and less than 100 nm wide. The current-voltage characteristic of the diode devices are similar to a conventional diode, but both the threshold voltage (from 0V to a few volts) and the current level (from nA to ?A) can be tuned by orders of magnitude by changing the device geometry. Standard silicon wafers can be used as substrates. A full family of logic gates, such as OR, AND, and NOT, can be constructed based on this device solely by simply etching insulative lines in the substrate.
    Type: Grant
    Filed: April 18, 2002
    Date of Patent: May 29, 2007
    Assignee: The University of Manchester
    Inventors: Amin Song, Pär Omling
  • Publication number: 20070032087
    Abstract: A process is provided for substrate ashing following the etching of features in a low dielectric constant (low-k) layer. The low-k layer can include ultra-low-k material, or a porous low-k material. The process may be configured to remove etch byproducts while preserving feature critical dimension. The ashing process comprises the use of a nitrogen and hydrogen containing chemistry with a passivation chemistry that includes oxygen, such as O2, CO, or CO2, or any combination thereof.
    Type: Application
    Filed: August 3, 2005
    Publication date: February 8, 2007
    Inventors: Masaru Nishino, Douglas Trickett