Conductive Layer Comprising Silicide (epo) Patents (Class 257/E21.165)
  • Patent number: 7563717
    Abstract: The method includes chemical-mechanical polishing to planarize an insulating interlayer deposited on a lower pattern. The insulating interlayer is polished using a surfactant. The chemical-mechanical polishing includes at least two separate polishing steps of different fluxes of the surfactant. The first polishing step is performed for touching up an upper side of the insulating layer. The second polishing step is performed, after completing the first polishing step, for planarizing the insulating interlayer.
    Type: Grant
    Filed: December 28, 2005
    Date of Patent: July 21, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Ji Hyung Yune
  • Patent number: 7560379
    Abstract: In one aspect, the invention provides a method of fabricating a semiconductive device 200 that comprises forming a raised layer [510] adjacent a gate [340] and over a source/drain [415], depositing a silicidation layer [915] over the gate [340] and the raised layer [510], and moving at least a portion of the silicidation layer [915] into the source/drain [415] through the raised layer [510].
    Type: Grant
    Filed: February 7, 2006
    Date of Patent: July 14, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Puneet Kohli, Manfred B. Ramin
  • Publication number: 20090170311
    Abstract: A method for fabricating a contact in a semiconductor device includes forming an insulating film having a contact hole over a bottom film, forming a thin metal film in the exposed portion of the bottom film by supplying a reaction gas containing a metal component to a surface of the bottom film exposed by the contact hole, forming a metal silicide film by performing an annealing process on the thin metal film, and forming a metal film over the metal silicide film to fill the contact hole.
    Type: Application
    Filed: December 30, 2008
    Publication date: July 2, 2009
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Choon Hwan Kim, Kyoung Bong Routh, II Cheol Rho
  • Patent number: 7553763
    Abstract: A salicide process contains providing a silicon substrate that comprises at least a predetermined salicide region, performing a cluster ion implantation process to form an amorphized layer in the predetermined salicide region of the silicon substrate near, forming a metal layer on the surface of the amorphized layer, and reacting the metal layer with the amorphized layer to form a silicide layer on the surface of the silicon substrate.
    Type: Grant
    Filed: August 8, 2006
    Date of Patent: June 30, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Tsai-Fu Hsiao, Chin-Cheng Chien, Kuo-Tai Huang
  • Patent number: 7553762
    Abstract: The invention provides a method for forming a metal silicide layer. The method comprises steps of providing a substrate and forming a nickel-noble metal layer over the substrate. A grain boundary sealing layer is formed on the nickel-noble metal layer and then an oxygen diffusion barrier layer is formed on the grain boundary sealing layer. Thereafter, a rapid thermal process is performed to transform a portion of the nickel-noble metal layer into a metal silicide layer. Finally, the oxygen diffusion barrier layer, the grain boundary sealing layer and the rest portion of the nickel-noble metal layer are removed.
    Type: Grant
    Filed: February 9, 2007
    Date of Patent: June 30, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Tzung-Yu Hung, Chun-Chieh Chang, Chao-Ching Hsieh, Yu-Lan Chang, Yi-Wei Chen
  • Patent number: 7547608
    Abstract: A method is provided for forming a polysilicon layer on a substrate and aligning an exposure system with an alignment feature of the substrate through the polysilicon layer. In such method, a polysilicon layer is deposited over the substrate having the alignment feature such that the polysilicon layer reaches a first temperature. The polysilicon layer is then annealed with the substrate to raise the polysilicon layer to a second temperature higher than the first temperature. A photoimageable layer is then deposited over the polysilicon layer, after which an alignment signal including light from the alignment feature is received through the annealed polysilicon layer. Using the alignment signal passing through the annealed polysilicon layer from the alignment feature, an exposure system is aligned with the substrate with improved results.
    Type: Grant
    Filed: May 10, 2006
    Date of Patent: June 16, 2009
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Johnathan E. Faltermeier, James P. Norum
  • Patent number: 7544616
    Abstract: A method of forming word lines of a memory includes providing a substrate and forming a conductive layer on the substrate. A metal silicide layer is formed on the conductive layer, and a mask pattern is formed on the metal silicide layer. A mask liner covering the mask pattern and the surface of the metal silicide layer is formed on the substrate to shorten distances between the word line regions. An etching process is performed on the mask liner and the mask pattern until the partial surface of the metal silicide layer is exposed. The metal silicide layer and the conductive layer are etched to form word lines by utilizing the mask liner and the mask pattern as a mask. A silicon content of the metal silicide layer must be less than or equal to 2 for reducing a bridge failure rate between the word lines.
    Type: Grant
    Filed: October 17, 2007
    Date of Patent: June 9, 2009
    Assignee: MACRONIX International Co., Ltd.
    Inventors: Chi-Pin Lu, Ling-Wu Yang
  • Patent number: 7531459
    Abstract: Methods of forming a metal salicide layer can include forming a metal layer on a substrate and forming a metal silicide layer on the metal layer using a first thermal process at a first temperature. Then a second process is performed, in-situ with the first thermal process, on the metal layer at a second temperature that is less than the first temperature.
    Type: Grant
    Filed: June 13, 2006
    Date of Patent: May 12, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sug-woo Jung, Gil-heyun Choi, Byung-hee Kim, Jong-ho Yun, Hyun-su Kim, Eun-ji Jung
  • Publication number: 20090098733
    Abstract: A method of forming a metal layer on the conductive region of a semiconductor device includes concurrently supplying a mixture gas including a hydrogen gas and a metal chloride compound gas, and a purge gas into a chamber having a sealed space for a predetermined time, thereby forming a first metal layer on the semiconductor substrate, using a plasma enhanced chemical vapor deposition (PECVD) method. The hydrogen gas and metal chloride gases are thereafter alternately supplied for a predetermined time while the purge gas is continuously supplied into the chamber, thereby forming a second metal layer on the first metal layer, using a PECVD method. Deterioration of semiconductor devices due to high heat by a conventional CVD method can be prevented using a PECVD method as a low temperature process, thereby improving a production yield.
    Type: Application
    Filed: April 9, 2008
    Publication date: April 16, 2009
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Hyun-Suk Lee, Hyun-Young Kim, Kwang-Jin Moon
  • Patent number: 7510922
    Abstract: A semiconductor process and apparatus provide a T-shaped structure (84) formed from a polysilicon structure (10) and polysilicon spacers (80, 82) and having a narrower bottom dimension (e.g., at or below 40 nm) and a larger top critical dimension (e.g., at or above 40 nm) so that a silicide may be formed from a first material (such as CoSi2) in at least the upper region (100) of the T-shaped structure (84) without incurring the increased resistance caused by agglomeration and voiding that can occur with certain silicides at the smaller critical dimensions.
    Type: Grant
    Filed: January 26, 2006
    Date of Patent: March 31, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Mark D. Hall, Dharmesh Jawarani, Mehul D. Shroff, Edward O. Travis
  • Patent number: 7504329
    Abstract: Low work function metals for use as gate electrode in nMOS devices are provided. The low work function metals include alloys of lanthanide(s), metal and semiconductor. In particular, an alloy of nickel-ytterbium (NiYb) is used to fully silicide (FUSI) a silicon gate. The resulting nickel-ytterbium-silicon gate electrode has a work function of about 4.22 eV.
    Type: Grant
    Filed: May 11, 2006
    Date of Patent: March 17, 2009
    Assignees: Interuniversitair Microelektronica Centrum (IMEC), National University of Singapore (NUS), Texas Instruments Incorporated
    Inventors: HongYu Yu, Chen JingDe, Li Mingfu, Dim-Lee Kwong, Serge Biesemans, Jorge Adrian Kittl
  • Publication number: 20090053867
    Abstract: Devices and methods for plasma treated metal silicide layer formation are disclosed. In one embodiment, a method for manufacturing a semiconductor device comprises forming a metal layer on a silicon substrate, exposing the metal layer to a plasma, and thermally treating the silicon substrate and the metal layer to form a metal silicide layer.
    Type: Application
    Filed: August 20, 2008
    Publication date: February 26, 2009
    Inventors: Takayuki ENDA, Tatsuya INOUE, Naoki TAKEGUCHI
  • Patent number: 7482668
    Abstract: A semiconductor device is provided. A transistor is formed on a substrate, and a metal silicide layer is formed on the surface of a gate conductor layer and a source/drain region. Next, a surface treatment process is performed to selectively form a protection layer on the surface of the metal silicide layer. Then, a spacer of the transistor is partially removed using the protection layer as a mask, so as to reduce the width of the spacer. Then, a stress layer is formed on the substrate.
    Type: Grant
    Filed: July 27, 2007
    Date of Patent: January 27, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Chao-Ching Hsieh, Chun-Chieh Chang, Tzung-Yu Hung
  • Patent number: 7482282
    Abstract: A method for cleaning oxide from the interconnects of a semiconductor that are comprised of nickel (Ni) silicide or nickel-silicide alloys where nickel is the primary metallic component is disclosed. The cleaning comprises performing an SC1 cycle, exposing the wafer comprising a NiSi contact to an SC1 solution. This removes oxygen atoms from the silicon oxide of the nickel silicide. Next, a rinse cycle is performed on the wafer to remove the SC1 solution. Finally, an HCl cycle is performed. During this cycle, the wafer comprising an NiSi contact is introduced to an HCl solution, removing oxygen atoms from the nickel oxide of the NiSi. The method of the present invention provides for lower contact resistance of NiSi semiconductor devices, facilitating semiconductor devices that have the benefits of miniaturization allowed by the NiSi technology, and higher performance due to the reduced contact resistance.
    Type: Grant
    Filed: March 26, 2007
    Date of Patent: January 27, 2009
    Assignee: International Business Machines Corporation
    Inventors: David F. Hilscher, Ying Li
  • Publication number: 20090020757
    Abstract: A structure and a method for mitigation of the damage arising in the source/drain region of a MOSFET is presented. A substrate is provided having a gate structure comprising a gate oxide layer and a gate electrode layer, and a source and drain region into which impurity ions have been implanted. A PAI process generates an amorphous layer within the source and drain region. A metal is deposited and is reacted to create a silicide within the amorphous layer, without exacerbating existing defects. Conductivity of the source and drain region is then recovered by flash annealing the substrate.
    Type: Application
    Filed: July 17, 2007
    Publication date: January 22, 2009
    Inventors: Chia Ping Lo, Jerry Lai, Chii-Ming Wu, Mei-Yun Wang, Da-Wen Lin
  • Publication number: 20090017619
    Abstract: A metal suicide layer is fabricated in a semiconductor device. A first metal layer is deposited on a silicon substrate formed with an S interlayer dielectric having a contact hole through PVD. A second metal layer is deposited on the first metal layer through any one of CVD and ALD. Annealing is performed on the silicon substrate which is formed with the first and second metal layers to form the metal silicide. The portions of the second metal layer and the first metal layer which have not reacted during annealing are removed.
    Type: Application
    Filed: July 9, 2008
    Publication date: January 15, 2009
    Inventors: Young Jin LEE, Baek Mann KIM, Soo Hyun KIM, Dong Ha JUNG, Jeong Tae KIM, Hyeong Tag JEON, Keun Woo LEE, Keun Jun KIM, Tae Yong PARK
  • Publication number: 20090011596
    Abstract: An electronic device includes an element group which generates a specific identification number and is composed of a plurality of elements. The specific identification number is set based on irregular deviation in electric characteristic of the elements which is caused due to a random failure in a manufacturing process.
    Type: Application
    Filed: August 29, 2008
    Publication date: January 8, 2009
    Applicant: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.
    Inventor: Yasutoshi OKUNO
  • Publication number: 20080311747
    Abstract: The present invention provides a method of manufacturing a metal silicide electrode (100) for a semiconductor device (110). The method comprises depositing by physical vapor deposition, germanium atoms (120) and transition metal atoms (130) to form a metal-germanium alloy layer (140) on a semiconductor substrate (150). The metal-germanium alloy layer and the semiconductor substrate are reacted to form a metal silicide electrode. Other aspects of the present invention include a method of manufacturing an integrated circuit (400).
    Type: Application
    Filed: August 4, 2008
    Publication date: December 18, 2008
    Applicant: Texas Instruments Incorporated
    Inventors: Doufeng Yue, Noel Russell, Peijun J. Chen, Douglas E. Mercer
  • Patent number: 7465625
    Abstract: According to an embodiment of the invention, a flash memory cell includes a first gate stack and a second gate stack having a film deposited across the gap between the first and second gate stacks so that the film creates a void between the first and second gate stacks. Dielectric materials may be used to reduce conductivity between the two stacks. A dielectric material that is resistant to conductivity has a low dielectric constant (k). The lowest-k dielectric material is air, which has a dielectric constant of approximately 1. By creating a void between the two gate stacks, the least conductive material (air) is left filling the space between the gate stacks, and the likelihood of parasitic coupling of two adjacent floating gates is substantially reduced.
    Type: Grant
    Filed: October 17, 2006
    Date of Patent: December 16, 2008
    Inventors: Been-jon K. Woo, Yudong Kim, Albert Fazio
  • Publication number: 20080299720
    Abstract: A method for forming a stabilized metal silicide film, e.g., contact (source/drain or gate), that does not substantially agglomerate during subsequent thermal treatments, is provided. In the present invention, ions that are capable of attaching to defects within the Si-containing layer are implanted into the Si-containing layer prior to formation of metal silicide. The implanted ions stabilize the film, because the implants were found to substantially prevent agglomeration or at least delay agglomeration to much higher temperatures than in cases in which no implants were used.
    Type: Application
    Filed: May 21, 2008
    Publication date: December 4, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Roy A. Carruthers, Cedrik Y. Coia, Christophe Detavernier, Christian Lavoie, Kenneth P. Rodbell
  • Patent number: 7456096
    Abstract: It is made possible to reduce the interface resistance at the interface between the nickel silicide film and the silicon. A semiconductor manufacturing method includes: forming an impurity region on a silicon substrate, with impurities being introduced into the impurity region; depositing a Ni layer so as to cover the impurity region; changing the surface of the impurity region into a NiSi2 layer through annealing; forming a Ni layer on the NiSi2 layer; and silicidating the NiSi2 layer through annealing.
    Type: Grant
    Filed: September 11, 2006
    Date of Patent: November 25, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takashi Yamauchi, Atsuhiro Kinoshita, Yoshinori Tsuchiya, Junji Koga, Koichi Kato, Nobutoshi Aoki, Kazuya Ohuchi
  • Patent number: 7452789
    Abstract: A method for forming an underlayer composed of a GaN-based compound semiconductor is provided. In this method, at the time of epitaxial growth of an underlayer on the surface of a sapphire substrate, no gap is generated between the underlayer and the surface of the sapphire substrate. The method for forming an underlayer composed of a GaN-based compound semiconductor includes the steps of forming strip seed layers composed of a GaN-based compound semiconductor on the surface of a sapphire substrate, forming a crystal growth promoting layer composed of a GaN-based compound semiconductor on the top surfaces and both the side surfaces of the seed layers, and on the exposed surfaces of the sapphire substrate, and epitaxially growing an underlayer composed of a GaN-based compound semiconductor from the parts of the crystal growth promoting layer.
    Type: Grant
    Filed: January 5, 2007
    Date of Patent: November 18, 2008
    Assignee: Sony Corporation
    Inventor: Hiroyuki Okuyama
  • Patent number: 7446042
    Abstract: A method for forming nickel silicide includes degassing a semiconductor substrate that includes a silicon surface. After the degassing operation, the substrate is cooled prior to a metal deposition process, during a metal deposition process, or both. The cooling suppresses the temperature of the substrate to a temperature less than the temperature required for the formation of nickel silicide. Nickel diffusion is minimized during the deposition process. After deposition, an annealing process is used to urge the formation of a uniform silicide film. In various embodiments, the metal film may include a binary phase alloy containing nickel and a further element.
    Type: Grant
    Filed: January 30, 2006
    Date of Patent: November 4, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chii-Ming Wu, Shih-Wei Chou, Gin Jei Wang, Cheng-Tung Lin, Chih-Wei Chang, Shau-Lin Shue
  • Publication number: 20080258234
    Abstract: A semiconductor structure provides lower parasitic capacitance between the gate electrode and contact vias while providing substantially the same level of stress applied by a nitride liner as conventional MOSFETs by reducing the height of the gate electrode and maintaining substantially the same height for the gate spacer. The nitride liner contacts only the outer sidewalls of the gate spacer, while not contacting inner sidewalls, or only a small area of the inner sidewalls of the gate spacer, therefore applying substantially the same level of stress to the channel of the MOSFET as conventional MOSFETs. The volume surrounded by the gate spacer and located above the gate electrode is either filled with a low-k dielectric material or occupied by a cavity having a dielectric constant of substantially 1.0. The reduced height of the gate electrode and the low-k dielectric gate filler or the cavity reduces the parasitic capacitance.
    Type: Application
    Filed: April 23, 2007
    Publication date: October 23, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: William K. Henson, Paul Chung-Muh Chang, Dureseti Chidambarrao, Ricardo A. Donaton, Yaocheng Liu, Shreesh Narasimha, Amanda L. Tessier
  • Publication number: 20080227283
    Abstract: A method for forming gennano-silicide contacts atop a Ge-containing layer that is more resistant to etching than are conventional silicide contacts that are formed from a pure metal is provided. The method of the present invention includes first providing a structure which comprises a plurality of gate regions located atop a Ge-containing substrate having source/drain regions therein. After this step of the present invention, a Si-containing metal layer is formed atop the said Ge-containing substrate. In areas that are exposed, the Ge-containing substrate is in contact with the Si-containing metal layer. Annealing is then performed to form a germano-silicide compound in the regions in which the Si-containing metal layer and the Ge-containing substrate are in contact; and thereafter, any unreacted Si-containing metal layer is removed from the structure using a selective etch process. In some embodiments, an additional annealing step can follow the removal step.
    Type: Application
    Filed: April 23, 2008
    Publication date: September 18, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Cyril Cabral, Roy A. Carruthers, Christophe Detavernier, Simon Gaudet, Christian Lavoie, Huiling Shang
  • Publication number: 20080224231
    Abstract: A semiconductor structure. The semiconductor structure includes (a) a semiconductor layer, (b) a gate dielectric region, and (c) a gate electrode region. The gate electrode region is electrically insulated from the semiconductor layer. The semiconductor layer comprises a channel region, a first and a second source/drain regions. The channel region is disposed between the first and second source/drain regions and directly beneath and electrically insulated from the gate electrode region. The semiconductor structure further includes (d) a first and a second electrically conducting regions, and (e) a first and a second contact regions. The first electrically conducting region and the first source/drain region are in direct physical contact with each other at a first and a second common surfaces. The first and second common surfaces are not coplanar. The first contact region overlaps both the first and second common surfaces.
    Type: Application
    Filed: April 18, 2008
    Publication date: September 18, 2008
    Inventors: Huilong Zhu, Haining Yang, Zhijiong Luo
  • Publication number: 20080220606
    Abstract: A method for forming germano-silicide contacts atop a Ge-containing layer that is more resistant to etching than are conventional silicide contacts that are formed from a pure metal is provided. The method of the present invention includes first providing a structure which comprises a plurality of gate regions located atop a Ge-containing substrate having source/drain regions therein. After this step of the present invention, a Si-containing metal layer is formed atop the said Ge-containing substrate. In areas that are exposed, the Ge-containing substrate is in contact with the Si-containing metal layer. Annealing is then performed to form a germano-silicide compound in the regions in which the Si-containing metal layer and the Ge-containing substrate are in contact; and thereafter, any unreacted Si-containing metal layer is removed from the structure using a selective etch process. In some embodiments, an additional annealing step can follow the removal step.
    Type: Application
    Filed: April 23, 2008
    Publication date: September 11, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Cyril Cabral, Roy A. Carruthers, Christophe Detavernier, Simon Gaudet, Christian Lavoie, Huiling Shang
  • Patent number: 7422968
    Abstract: The present invention provides a method for manufacturing a semiconductor device, and a method for manufacturing an integrated circuit including the semiconductor devices. The method for manufacturing a semiconductor device (100) , among other steps, includes forming a gate structure (120) over a substrate (110) and forming source/drain regions (190) in the substrate (110) proximate the gate structure (120). The method further includes subjecting the gate structure (120) and substrate (110) to a dry etch process and placing fluorine in the source/drain regions to form fluorinated source/drains (320) subsequent to subjecting the gate structure (120) and substrate (110) to the dry etch process. Thereafter, the method includes forming metal silicide regions (510, 520) in the gate structure (120) and the fluorinated source/drains (320).
    Type: Grant
    Filed: July 29, 2004
    Date of Patent: September 9, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Jiong-Ping Lu, Clint Montgomery, Lindsey Hall, Donald Miles, Duofeng Yue, Thomas D. Bonifiield
  • Patent number: 7422967
    Abstract: The present invention provides a method for manufacturing a semiconductor device. In one embodiment of the present invention, without limitation, the method for manufacturing the semiconductor device includes forming a gate structure (120) over a substrate (110) and forming source/drain regions (190) in the substrate (110) proximate the gate structure (120). The method further includes forming fluorine containing regions (220) in the source/drain regions (190) employing a fluorine containing plasma using a power level of less than about 75 Watts, forming a metal layer (310) over the substrate (110) and fluorine containing regions (220), and reacting the metal layer (310) with the fluorine containing regions (220) to form metal silicide regions (410) in the source/drain regions (190).
    Type: Grant
    Filed: May 12, 2005
    Date of Patent: September 9, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Juanita DeLoach, Lindsey H. Hall, Lance S. Robertson, Jiong-Ping Lu, Donald S. Miles
  • Publication number: 20080206988
    Abstract: A simple and cost effective method of forming a fully silicided (FUSI) gate of a MOS transistor is disclosed. In one example, the method comprises forming a nitride hardmask overlying a polysilicon gate, forming an S/D silicide in source/drain regions of the transistor, oxidizing a portion of the S/D silicide to form an oxide barrier overlying the S/D silicide in the source/drain regions, removing the nitride hardmask from the polysilicon gate, and forming a gate silicide such as by deposition of a gate silicide metal over the polysilicon gate and the oxide barrier in the source/drain regions to form a fully silicided (FUSI) gate in the transistor. Thus, the oxide barrier protects the source/drain regions from additional silicide formation by the gate silicide metal formed thereafter. The method may further comprise selectively removing the oxide barrier in the source/drain regions after forming the fully silicided (FUSI) gate.
    Type: Application
    Filed: February 27, 2007
    Publication date: August 28, 2008
    Inventors: Puneet Kohli, Craig Huffman, Manfred Ramin
  • Patent number: 7417290
    Abstract: Disclosed is a structure and method for tuning silicide stress and, particularly, for developing a tensile silicide region on a gate conductor of an n-FET in order to optimize n-FET performance. More particularly, a first metal layer-protective cap layer-second metal layer stack is formed on an n-FET structure. However, prior to the deposition of the second metal layer, the protective layer is exposed to air. This air break step alters the adhesion between the protective cap layer and the second metal layer and thereby, effects the stress imparted upon the first metal layer during silicide formation. The result is a more tensile silicide that is optimal for n-FET performance.
    Type: Grant
    Filed: January 9, 2006
    Date of Patent: August 26, 2008
    Assignee: International Business Machines Corporation
    Inventors: Robert J. Purtell, Keith Kwong Hon Wong
  • Patent number: 7402512
    Abstract: A high aspect ratio contact structure formed over a junction region in a silicon substrate comprises a titanium interspersed with titanium silicide layer that is deposited in the contact opening and directly contacts an upper surface of the substrate. Silicon-doping of CVD titanium, from the addition of SiH4 during deposition, reduces consumption of substrate silicon during the subsequent silicidation reaction in which the titanium reacts with silicon to form a titanium silicide layer that provides low resistance electrical contacts between the junction region and the silicon substrate. The contact structure further comprises a titanium nitride contact fill that is deposited in the contact opening and fills substantially the entire contact opening.
    Type: Grant
    Filed: June 15, 2005
    Date of Patent: July 22, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Ammar Derraa, Sujit Sharan, Paul Castrovillo
  • Patent number: 7399669
    Abstract: Semiconductor devices and methods for fabricating the same are disclosed in which an amorphous layer is formed in an interface between a device isolation layer and a source or drain region to stably thin a silicide layer formed in the interface. A leakage current of the silicide layer formed in the interface between the device isolation layer and the source/drain region is reduced.
    Type: Grant
    Filed: December 29, 2004
    Date of Patent: July 15, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Hyuk Park
  • Patent number: 7399701
    Abstract: The present invention provides a semiconductor device manufacturing method of a semiconductor device having a contact plug, in which a contact hole formed by a surface portion of a high-concentration N-type diffusion layer formed on a semiconductor silicon substrate surface and an interlayer insulating film is implanted with indium ions at an energy ranging from 30 to 120 keV and an implantation amount ranging from 1.0×1013/cm2 to 5.0×1014/cm2 to grow an indium-containing layer on the surface portion of the high-concentration N-type diffusion layer at the bottom of the contact hole.
    Type: Grant
    Filed: May 4, 2006
    Date of Patent: July 15, 2008
    Assignee: Elpida Memory Inc.
    Inventor: Noriaki Ikeda
  • Patent number: 7399702
    Abstract: Methods of fully siliciding semiconductive materials of semiconductor devices are disclosed. A preferred embodiment comprises depositing an alloy comprised of a first metal and a second metal over a semiconductive material. The device is heated, causing atoms of the semiconductive material to move towards and bond to the atoms of the second metal, leaving vacancies in the semiconductive material, and causing atoms of the first metal to move into the vacancies in the semiconductive material.
    Type: Grant
    Filed: February 1, 2005
    Date of Patent: July 15, 2008
    Assignee: Infineon Technologies AG
    Inventors: Chan Lim, Bum Ki Moon
  • Patent number: 7396764
    Abstract: The technology which can improve the performance of a MOS transistor in which all the regions of the gate electrode were silicided is offered. A gate insulating film and a gate electrode of an nMOS transistor are laminated and formed in this order on a semiconductor substrate. A source/drain region of the nMOS transistor is formed in the upper surface of the semiconductor substrate. The source/drain region is silicided after siliciding all the regions of the gate electrode. Thus, silicide does not cohere in the source/drain region by the heat treatment at the silicidation of the gate electrode by siliciding the source/drain region after the silicidation of the gate electrode. Therefore, the electric resistance of the source/drain region is reduced and junction leak can be reduced. As a result, the performance of the nMOS transistor improves.
    Type: Grant
    Filed: May 4, 2006
    Date of Patent: July 8, 2008
    Assignee: Renesas Technology Corp.
    Inventor: Shigeki Komori
  • Patent number: 7387926
    Abstract: A method for manufacturing a CMOS image sensor is provided. The method includes forming a gate electrode on a semiconductor layer having defined regions of a photodiode region and a logic region, such that a gate oxide film is interposed between the semiconductor layer and the gate electrode; forming sidewall insulating films at both sides of the gate electrode, followed by forming a salicide-preventing film over an overall surface of the gate electrode and insulating films; removing the salicide-preventing film formed in the logic region; and removing a portion of the sidewall insulating films exposed by removing the salicide-preventing film, thereby exposing an upper side surface of the gate electrode.
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: June 17, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Chang Hun Han
  • Patent number: 7385294
    Abstract: A semiconductor device having nickel silicide and a method for fabricating nickel silicide. A semiconductor substrate having a plurality of doped regions is provided. Subsequently, a nickel layer is formed on the semiconductor substrate, and a first rapid thermal process (RTP) is performed to react the nickel layer with the doped regions disposed thereunder. Thereafter, the unreacted nickel layer is removed, and a second rapid thermal process is performed to form a semiconductor device having nickel silicide. The second rapid thermal process is a spike anneal process whose process temperature is between 400 and 600° C.
    Type: Grant
    Filed: September 8, 2005
    Date of Patent: June 10, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Yi-Wei Chen, Chao-Ching Hsieh, Yi-Yiing Chiang, Tzung-Yu Hung, Yu-Lan Chang, Po-Chao Tsao, Chang-Chi Huang, Ming-Tsung Chen
  • Patent number: 7361539
    Abstract: A semiconductor device structure is provided which includes a first field effect transistor (“FET”) having a first channel region, a first source region, a first drain region and a first gate conductor overlying the first channel region. A second FET is included which has a second channel region, a second source region, a second drain region and a second gate conductor overlying the second channel region. The first and second gate conductors are portions of a single elongated conductive member extending over both the first and second channel regions. A first stressed film overlies the first FET, the first stressed film applying a stress having a first value to the first channel region. A second stressed film overlies the second FET, the second stressed film applying a stress having a second value to the second channel region. The second value is substantially different from the first value.
    Type: Grant
    Filed: May 16, 2006
    Date of Patent: April 22, 2008
    Assignee: International Business Machines Corporation
    Inventors: Xiangdong Chen, Haining S. Yang
  • Patent number: 7361597
    Abstract: A semiconductor device incorporating an alloy layer formed on a substrate; a gate electrode, a source electrode, and a drain electrode formed on the alloy layer at predetermined intervals therebetween; a gate insulating layer formed on the gate electrode in a gate electrode region; a first conductive layer formed on the substrate, including the source electrode and the drain electrode; and a second conductive layer and a metal silicide layer sequentially stacked on the first conductive layer and gate insulating layer.
    Type: Grant
    Filed: June 23, 2006
    Date of Patent: April 22, 2008
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Sang Hyun Ban
  • Patent number: 7344983
    Abstract: A cluster tool is provided for the implementing of a clustered and integrated surface pre-cleaning of the surface of semiconductor devices. More particularly, there is provided a cluster tool and a method of utilization thereof in an integrated semiconductor device surface pre-cleaning, which is directed towards a manufacturing aspect in which a chamber for performing a dry processing chemical oxide removal (COR) on the semiconductor device surface is clustered with other tools, such as a metal deposition tool for silicide or contact formation, including the provision of a vacuum transfer module in the cluster tool.
    Type: Grant
    Filed: March 18, 2005
    Date of Patent: March 18, 2008
    Assignee: International Business Machines Corporation
    Inventors: Sadanand V. Deshpande, Ying Li, Kevin E. Mello, Renee T. Mo, Wesley C. Natzle, Kirk D. Peterson, Robert J. Purtell
  • Patent number: 7335595
    Abstract: A silicide 160 is formed in exposed silicon on a semiconductor wafer 10 by a method that includes forming a thin interface layer 140 over the semiconductor wafer 10 and performing a first low temperature anneal to create the silicide 160. The method further includes removing an unreacted portion of the interface layer 140 and performing a second low temperature anneal to complete the formation of a low resistance silicide 160.
    Type: Grant
    Filed: June 17, 2005
    Date of Patent: February 26, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Lance S. Robertson, Jiong-Ping Lu, Donald S. Miles
  • Patent number: 7332435
    Abstract: A method of forming a semiconductor device comprising: forming a gate dielectric layer over a channel region; forming a gate electrode on the gate dielectric layer; forming source/drain regions substantially aligned with respective edges of the gate electrode with the channel region therebetween; forming a thin metal layer on the source/drain regions; forming a metal alloy layer on the thin metal layer; and transforming the thin metal layer into a low resistance metal silicide.
    Type: Grant
    Filed: March 4, 2005
    Date of Patent: February 19, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Hsueh Shih, Shih-Wei Chou, Hung-Wen Su, Minghsing Tsai
  • Patent number: 7312150
    Abstract: A method of forming a cobalt disilicide layer and a method of manufacturing a semiconductor device using the same are provided. The method of forming a cobalt disilicide layer includes forming a cobalt layer on at least a silicon surface of a semiconductor device using metal organic chemical vapor deposition by supplying a cobalt precursor having a formula Co2(CO)6(R1—C?C—R2), where R1 is H or CH3, and R2 is hydrogen, t-butyl, phenyl, methyl, or ethyl, as a source gas. Then, a capping layer is formed on the cobalt layer. A first thermal treatment is then performed on the semiconductor device in an ultra high vacuum, for example, under a pressure of 10?9-10?3 torr, to react silicon with cobalt. Cobalt unreacted during the first thermal treatment and the capping layer are then removed and a second thermal treatment is performed on the semiconductor device to form the cobalt disilicide (CoSi2) layer.
    Type: Grant
    Filed: September 9, 2004
    Date of Patent: December 25, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-ho Yun, Gil-heyun Choi, Sang-bom Kang, Woong-hee Sohn, Hyun-su Kim
  • Patent number: 7274055
    Abstract: An embodiment of the invention reduces the external resistance of a transistor by utilizing a silicon germanium alloy for the source and drain regions and a nickel silicon germanium self-aligned silicide (i.e., salicide) layer to form the contact surface of the source and drain regions. The interface of the silicon germanium and the nickel silicon germanium silicide has a lower specific contact resistivity based on a decreased metal-semiconductor work function between the silicon germanium and the silicide and the increased carrier mobility in silicon germanium versus silicon. The silicon germanium may be doped to further tune its electrical properties. A reduction of the external resistance of a transistor equates to increased transistor performance both in switching speed and power consumption.
    Type: Grant
    Filed: June 29, 2005
    Date of Patent: September 25, 2007
    Assignee: Intel Corporation
    Inventors: Anand Murthy, Boyan Boyanov, Glenn A. Glass, Thomas Hoffmann
  • Publication number: 20070173002
    Abstract: A semiconductor process and apparatus provide a T-shaped structure (84) formed from a polysilicon structure (10) and polysilicon spacers (80, 82) and having a narrower bottom dimension (e.g., at or below 40 nm) and a larger top critical dimension (e.g., at or above 40 nm) so that a silicide may be formed from a first material (such as CoSi2) in at least the upper region (100) of the T-shaped structure (84) without incurring the increased resistance caused by agglomeration and voiding that can occur with certain silicides at the smaller critical dimensions.
    Type: Application
    Filed: January 26, 2006
    Publication date: July 26, 2007
    Inventors: Mark Hall, Dharmesh Jawarani, Mehul Shroff, Edward Travis
  • Patent number: 7238601
    Abstract: A conductive spacer (36, 122) in a sidewall region (30, 16) of a device (10, 100) is formed. The conductive spacer is formed adjacent sidewalls of the current electrode regions (18, 12). In one embodiment, a thin silicide layer (34) is formed at a top surface and a sidewall of the current electrode regions followed by an anisotropic etch of the conductive layer (32) used to form the thin silicide layer. The anisotropic etch of the conductive layer results in conductive spacers (36) adjacent sidewalls of the current electrode regions where these conductive spacers may allow for reduced contact resistance thus improving device performance. The conductive spacers may be formed adjacent current electrode regions of a MOSFET device, FINFET device, bipolar device, or Shotky-Barrier device.
    Type: Grant
    Filed: September 10, 2004
    Date of Patent: July 3, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Varughese Mathew, Leo Mathew
  • Patent number: 7238612
    Abstract: A metal salicide layer is formed by sequentially depositing a physical vapor deposition (PVD) metal layer and a chemical vapor deposition (CVD) metal layer on a semiconductor device having an exposed silicon surface so as to form a double metal layer. The semiconductor device is annealed to react the double metal layer with the silicon surface. At least a portion of the double layer that has not reacted with the silicon surface is stripped. The semiconductor device is annealed after stripping at least the portion of the double metal layer.
    Type: Grant
    Filed: January 27, 2005
    Date of Patent: July 3, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-ho Yun, Gil-heyun Choi, Seong-hwee Cheong, Sug-woo Jung, Hyun-su Kim, Woong-hee Sohn
  • Patent number: 7223662
    Abstract: By substantially amorphizing a selectively epitaxially grown silicon layer used for forming a raised drain and source region and a portion of the underlying substrate, or just the surface region of the substrate (prior to growing the silicon overlayer), the number of interface defects located between the grown silicon layer and the initial substrate surface may be significantly reduced. Consequently, deleterious effects such as charge carrier gettering or creating diffusion paths for dopants may be suppressed.
    Type: Grant
    Filed: March 16, 2005
    Date of Patent: May 29, 2007
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Thorsten Kammler, Scott Luning, Linda Black
  • Patent number: 7220623
    Abstract: The present invention is directed to a method of manufacturing silicide used to reduce a contact resistance at a contact of a semiconductor device and a semiconductor device with the silicide manufactured by the same method. The method comprises the steps of: (a) cleaning a semiconductor substrate with a transistor formed thereon, the transistor including a source electrode, a drain electrode and a gate electrode; (b) placing the cleaned semiconductor substrate into a sputter chamber in a deposition equipment, and forming silicide at the same time of depositing a metal film under a state where the semiconductor substrate is heated at a temperature of 450-600° C.; (c) removing residual metal film not used for the formation of silicide; and (d) annealing the semiconductor substrate.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: May 22, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jae-Won Han