Chemical Etching (epo) Patents (Class 257/E21.219)
  • Publication number: 20090191711
    Abstract: Methods for forming an ultra thin structure. The method includes a polymer deposition and etching process. In one embodiment, the methods may be utilized to form fabricate submicron structure having a critical dimension less than 30 nm and beyond. The method further includes a multiple etching processes. The processes may be varied to meet different process requirements. In one embodiment, the process gently etches the substrate while shrinking critical dimension of the structures formed within the substrate. The dimension of the structures may be shank by coating a photoresist like polymer to sidewalls of the formed structure, but substantially no polymer accumulation on the bottom surface of the formed structure on the substrate. The embodiments described herein also provide high selectivity in between each layers formed on the substrate during the fabricating process and preserving a good control of profile formed within the structure.
    Type: Application
    Filed: January 30, 2008
    Publication date: July 30, 2009
    Inventors: Ying Rui, Nancy Fung, Xiaoye Zhao, Kevin Mikio Mukai, Yasunobu Iwamoto
  • Publication number: 20090191690
    Abstract: A semiconductor wafer having an active layer is mounted on a carrier with the active layer away from the carrier and at least partially diced on the carrier from a major surface of the semiconductor wafer. The at least partially diced semiconductor wafer is etched on the carrier from the said major surface with a spontaneous etchant to remove sufficient semiconductor material from a die produced from the at least partially diced semiconductor wafer to improve flexural bend strength of the die by removing at least some defects caused by dicing.
    Type: Application
    Filed: November 1, 2005
    Publication date: July 30, 2009
    Applicant: XSIL TECHNOLOGY LIMITED
    Inventors: Adrian Boyle, David Gillen, Kali Dunne, Eva Fernandez Gomez, Richard Toftness
  • Publication number: 20090191714
    Abstract: The present invention provides a method of removing oxides. First, a substrate having the oxides is loaded into a reaction chamber, which includes a susceptor setting in the bottom portion of the chamber, a shower head setting above the susceptor, and a heater setting above the susceptor. Subsequently, an etching process is performed. A first thermal treatment process is then carried out. Finally, a second thermal treatment process is carried out, and a reaction temperature of the second thermal treatment process is higher than a reaction temperature of the first thermal treatment process.
    Type: Application
    Filed: January 24, 2008
    Publication date: July 30, 2009
    Inventors: Kuo-Chih Lai, Yi-Wei Chen, Nien-Ting Ho, Teng-Chun Tsai
  • Publication number: 20090181546
    Abstract: A single-wafer etching apparatus according to the present invention supplies an etchant to an upper surface of a wafer while rotating the wafer, thereby etching the upper surface of the wafer. Further, wafer elevating means moves up and down the wafer, and a lower surface blow mechanism which blows off the etchant flowing down on an edge surface of the wafer toward a radially outer side of the wafer by injection of a gas is fixed and provided without rotating together with the wafer. Furthermore, gap adjusting means controls the wafer elevating means based on detection outputs from gap detecting means for detecting a gap between the wafer and the lower surface blow mechanism, thereby adjusting the gap. The apparatus according to the present invention uniformly etches the edge portion without collapsing a chamfered shape of the edge portion of the wafer, and prevents a glitter from being produced on the edge surface of the wafer.
    Type: Application
    Filed: March 31, 2008
    Publication date: July 16, 2009
    Inventors: Takeo KATOH, Tomohiro Hashii, Katsuhiko Murayama, Sakae Koyata, Kazushige Takaishi
  • Publication number: 20090174076
    Abstract: A semiconductor device includes a semiconductor substrate; a metal electrode wiring laminate on the semiconductor substrate, the metal electrode wiring laminate being patterned with a predetermined wiring pattern; the metal electrode wiring laminate including an undercoating barrier metal laminate and aluminum or aluminum alloy film on the undercoating barrier metal laminate; and organic passivation film covering the metal electrode wiring laminate, wherein the barrier metal laminate is a three-layered laminate including titanium films sandwiching a titanium nitride film. The semiconductor device according to the invention facilitates improving the moisture resistance of the portion of the barrier metal laminate exposed temporarily in the manufacturing process, facilitates employing only one passivation film, facilitates preventing the failures caused by cracks from occurring and the failures caused by Si nodules remaining in the aluminum alloy from increasing.
    Type: Application
    Filed: October 1, 2008
    Publication date: July 9, 2009
    Applicant: FUJI ELECTRIC DEVICE TECHNOLOGY CO., LTD.
    Inventors: Koji SASAKI, Kazuo MATSUZAKI, Takashi KOBAYASHI
  • Publication number: 20090176360
    Abstract: A method for processing a substrate is provided which includes applying fluid onto a surface of the substrate from a portion of a plurality of inlets and removing at least the fluid from the surface of the substrate where the removing being processed as the fluid is applied to the surface. The applying the fluid and the removing the fluid forms a segment of a fluid meniscus on the surface of the substrate.
    Type: Application
    Filed: March 13, 2009
    Publication date: July 9, 2009
    Applicant: Lam Research Corp.
    Inventor: James P. Garcia
  • Publication number: 20090176370
    Abstract: Methods for producing a MEMS device from a single silicon-on-insulator (SOI) wafer. An SOI wafer includes a silicon (Si) handle layer, a Si mechanism layer and an insulator layer located between the Si handle and Si mechanism layers. An example method includes etching active components from the Si mechanism layer. Then, the exposed surfaces of the Si mechanism layer is doped with boron. Next, portions of the insulator layer proximate to the etched active components of the Si mechanism layer are removed and the Si handle layer is etched proximate to the etched active components.
    Type: Application
    Filed: January 4, 2008
    Publication date: July 9, 2009
    Applicant: HONEYWELL INTERNATIONAL INC.
    Inventor: Lianzhong Yu
  • Publication number: 20090170336
    Abstract: A method for forming a pattern of a semiconductor device comprises forming a spacer with an oxide film in a SPT process, and removing the spacer formed to have a horn shape before etching an underlying layer, so that the horn shape is transcribed in a lower portion, thereby facilitating control of critical dimension in etching the underlying layer so as to improve a characteristic of the device. A method for forming a pattern of a semiconductor device of the present invention comprises: forming an underlying layer and a hard mask layer over a semiconductor substrate; forming a sacrificial pattern over the hard mask layer; forming a spacer at both sides of the sacrificial pattern; removing the sacrificial pattern to remain the spacer; etching the hard mask layer with the spacer as a mask to form a hard mask pattern; removing the spacer; and etching the underlying layer with the hard mask pattern as a mask.
    Type: Application
    Filed: June 27, 2008
    Publication date: July 2, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventors: Keun Do Ban, Cheol Kyu Bok
  • Publication number: 20090166780
    Abstract: Provided is: a process for producing safely at low cost a semiconductor substrate excellent in photoelectric transduction efficiency, in which a fine uneven structure suitable for a solar cell can be formed uniformly with desired size on the surface of the semiconductor substrate; a semiconductor substrate for solar application in which a uniform and fine pyramid-shaped uneven structure is provided uniformly within the surface thereof, and an etching solution for forming a semiconductor substrate having a uniform and fine uneven structure. A semiconductor substrate is etched with the use of an alkali etching solution containing at least one kind selected from the group consisting of carboxylic acids having a carbon number of 1 to 12 and having at least one carboxyl group in a molecule, and salts thereof, to thereby form an uneven structure on the surface of the semiconductor substrate.
    Type: Application
    Filed: February 27, 2009
    Publication date: July 2, 2009
    Applicants: MIMASU SEMICONDUCTOR INDUSTRY CO., LTD., SPACE ENERGY CORPORATION
    Inventors: Masato Tsuchiya, Ikuo Mashimo, Yoshimichi Kimura
  • Publication number: 20090159910
    Abstract: The present invention discloses a light emitting diode structure and a method for fabricating the same. In the present invention, a substrate is placed in a solution to form a chemical reaction layer on carved regions; the carved region is selectively etched to form a plurality of concave zones and form a plurality of convex zones; a semiconductor layer structure is epitaxially grown on the element regions and carved regions of the substrate; the semiconductor layer structure on the element regions is fabricated into a LED element with a photolithographic process.
    Type: Application
    Filed: December 21, 2007
    Publication date: June 25, 2009
    Inventors: Hung-Cheng LIN, Chia-Ming Lee, Jen-Inn Chyi
  • Publication number: 20090163026
    Abstract: A method of performing a single step/single solvent edge bead removal (EBR) process on a photolithography layer stack including a photoresist layer and a top coat layer using propylene glycol monomethyl ether acetate (PGMEA) or a mixture of PGMEA and gamma-butyrolactone (GBL) is disclosed. The single step/single solvent EBR process is compatible with organic and inorganic BARC layers.
    Type: Application
    Filed: December 19, 2008
    Publication date: June 25, 2009
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Benjamen Michael Rathsack, Mark Howell Somervell
  • Publication number: 20090149030
    Abstract: There is provided an etching method of an amorphous oxide layer containing In and at least one of Ga and Zn, which includes etching the amorphous oxide layer using an etchant containing any one of acetic acid, citric acid, hydrochloric acid, and perchloric acid.
    Type: Application
    Filed: August 1, 2006
    Publication date: June 11, 2009
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: Chienliu Chang
  • Publication number: 20090146266
    Abstract: A method of fabricating memory devices is provided. First, a charge storage structure including a gate dielectric structure is formed on the substrate in sequence to form a charge trapping layer. Then, a gate conductive layer is formed above the charge storage structure. Afterwards, the gate conductive layer and at least a part of the charge storage structure are patterned. The cross section of the patterned charge storage structure is then become a trapezoid or a trapezoid analogue, which has the shorter side near the gate conductive layer and the longer side near the substrate.
    Type: Application
    Filed: June 16, 2008
    Publication date: June 11, 2009
    Applicant: MACRONIX International Co., Ltd.
    Inventors: Chih-Lin Chen, Kuang-Wen Liu, Hsin-Huei Chen
  • Publication number: 20090130822
    Abstract: The invention relates to a process for collective manufacturing of cavities and/or membranes (24), with a given thickness d, in a wafer said to be a semiconductor on insulator layer, comprising at least one semiconducting surface layer with a thickness d on an insulating layer, this insulating layer itself being supported on a substrate, this process comprising: etching of the semiconducting surface layer with thickness d, the insulating layer forming a stop layer, to form said cavities and/or membranes in the surface layer.
    Type: Application
    Filed: April 26, 2007
    Publication date: May 21, 2009
    Applicant: TRONIC'S MICROSYSTEMS
    Inventors: Joel Collet, Stephane Nicolas, Christian Pisella
  • Publication number: 20090127722
    Abstract: Method for processing at least one spacer structure in a manufacturing process of a semiconductor device, wherein the at least one spacer structure is subjected to at least one etch process step with an isotropic component and the spacer structure comprises at least one point on the surface with a large solid angle opening towards the environment. Method of manufacturing an integrated circuit, including a regional removal of a spacer structure, wherein the removal is determined by a pattern density in the vicinity of the spacer structure.
    Type: Application
    Filed: November 20, 2007
    Publication date: May 21, 2009
    Inventors: Christoph Noelscher, Ulrich Egger, Rolf Weis, Stephan Wege, Burkhard Ludwig
  • Publication number: 20090124088
    Abstract: A method of etching a sacrificial layer for a micro-machined structure, the sacrificial layer positioned between a layer of a first material and a layer of a second material, the etching being carried out by an etching agent. The method includes: providing at least one species having an affinity for the etching agent greater than that of the layers of first material and second material and less than or equal to that of the sacrificial layer; and then etching the sacrificial layer by the etching agent, the etching being carried out to eliminate at least partially the sacrificial layer and then to eliminate at least partially the species.
    Type: Application
    Filed: October 25, 2006
    Publication date: May 14, 2009
    Applicant: Copmissariat A L'Energie Atomique
    Inventors: Stephan Borel, Jeremy Bilde
  • Publication number: 20090124091
    Abstract: The present invention aims to provide an etching solution composition which enables to etch a metal film in a controllable manner, form a desired definite tapered shape, and obtain a smooth surface without causing etching solution exudation trace. Said problems have been solved by the present invention, which is an etching solution composition for etching metal films containing one or more surfactants selected from the group consisting of alkyl sulfate or perfluoroalkenyl phenyl ether sulfonic acid and the salts thereof.
    Type: Application
    Filed: January 12, 2009
    Publication date: May 14, 2009
    Applicants: Kanto Kagaku Kabushiki Kaisha, Sanyo Electric Co., Ltd., Sanyo Semiconductor Manufacturing Co., Ltd.
    Inventors: Kazuhiro Fujikawa, Tsuguhiro Tago
  • Publication number: 20090117748
    Abstract: A method for manufacturing a phase change memory device, capable of improving reset current characteristics of a phase change layer by preventing thermal loss of the phase change layer. An interlayer dielectric layer having a lower electrode contact is formed on a semiconductor substrate. A phase change layer and an upper electrode layer are sequentially formed on the interlayer dielectric layer. Then, an upper electrode and a phase change pattern are formed by etching predetermined portions of the upper electrode layer and the phase change layer using an etching gas having chlorine gas.
    Type: Application
    Filed: June 25, 2008
    Publication date: May 7, 2009
    Applicant: Hynix Semiconductor, Inc.
    Inventors: Dong Ryeol LEE, Chang Heon PARK, Hee Seung SHIN
  • Publication number: 20090116675
    Abstract: A diaphragm (14) is formed using MEMS technology. The diaphragm (14) has a hinge structure, and at least one of a hinge upper corner portion and a hinge lower corner portion of the diaphragm (14) is rounded.
    Type: Application
    Filed: August 3, 2006
    Publication date: May 7, 2009
    Inventor: Yuichi Miyoshi
  • Publication number: 20090117739
    Abstract: A method for forming a pattern in a semiconductor device includes forming an etch-target layer over a substrate, wherein the substrate includes a first region having a smaller pattern than the first region, forming a sacrificial layer and a passivation layer over the etch-target layer, etching the passivation layer and the sacrificial layer to form stack structures including a sacrificial pattern and a passivation pattern, forming spacers over sidewalls of the stack structures, forming a mask pattern covering the second region, removing a portion of the passivation pattern in the first region exposed by the mask pattern to expose a portion of the sacrificial pattern in the first region, removing the exposed portion of the sacrificial pattern in the first region, and etching the etch-target layer to form an etch-target pattern using the spacers in the first and second regions and the stack structure formed between the spacers in the second region.
    Type: Application
    Filed: December 27, 2007
    Publication date: May 7, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventors: Jong-Han Shin, Hyung-Soon Park, Cheol-Hwi Ryu, Jum-Yong Park, Sung-Jun Kim
  • Publication number: 20090117749
    Abstract: Local shape collapse of a wafer end portion is suppressed to the minimum level, and a wafer front surface as well as a wafer end portion is uniformly etched while preventing an etchant from flowing to a wafer rear surface. There is provided an etching method of a single wafer which supplies an etchant onto a wafer front surface in a state where a single wafer having flattened front and rear surfaces is held, and etches the wafer front surface and a front surface side end portion by using a centrifugal force generated by horizontally rotating the wafer. According to this method, the etchant is intermittently supplied onto the front surface of the wafer in twice or more, supply of the etchant is stopped after the etchant for one process is supplied, and the etchant for the next process is supplied after the supplied etchant flows off from the end portion of the wafer.
    Type: Application
    Filed: October 28, 2008
    Publication date: May 7, 2009
    Inventors: Sakae KOYATA, Tomohiro Hashii, Katsuhiko Murayama, Kazushige Takaishi, Takeo Katoh
  • Patent number: 7528076
    Abstract: A method of manufacturing gate oxide layers with different thicknesses is disclosed. The method includes that a substrate is provided first. The substrate has a high voltage device region and a low voltage device region. Then, a high voltage gate oxide layer is formed on the substrate. Afterwards, a first wet etching process is performed to remove a portion of the high voltage gate oxide layer in the low voltage device region. Then, a second wet etching process is performed to remove the remaining high voltage gate oxide layer in the low voltage device region. The etching rate of the second wet etching process is smaller than that of the first wet etching process. Next, a low voltage gate oxide layer is formed on the substrate in the low voltage device region.
    Type: Grant
    Filed: May 11, 2007
    Date of Patent: May 5, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Shu-Chun Chan, Jung-Ching Chen, Shyan-Yhu Wang
  • Publication number: 20090101899
    Abstract: A stacked structure including a soluble organic semiconductor material and a water soluble photosensitive material is provided. The water soluble photosensitive material is disposed on the surface of the soluble organic semiconductor material.
    Type: Application
    Filed: March 2, 2008
    Publication date: April 23, 2009
    Applicant: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Chien-Hsien Yu, Jia-Chong Ho, Yi-Kai Wang, Ya-Lang Chen
  • Publication number: 20090097022
    Abstract: The present disclosure relates to the fields of microchips with microfluidic optical chambers with enhanced Raman surfaces for multiplexed optical spectroscopy. Embodiments of the present invention allow for ultra small sample volume, as well as high detection speed and throughput, as compared to conventional cuvettes or devices used in optical spectroscopy. Particular embodiments relate to scientific and medical research, the diagnosis of diseases such as cancer, cardiovascular disease, diabetes, etc., and specifically to the detection of biomarkers and determination of protein activity with relevant scientific and medical applications.
    Type: Application
    Filed: August 14, 2008
    Publication date: April 16, 2009
    Inventors: Paolin Shen, Li Jiang, Kejung Jiang, Zhongzhong Chen
  • Publication number: 20090093123
    Abstract: Provided is a spin head for supporting a substrate. The spin head includes a rotatable body, and chuck pins protruding upward from the body and configured to support an edge of a substrate placed at the body when the body is rotated. Each of the chuck pins includes a vertical rod vertically disposed at the body, and a support rod extending from a side of the vertical rod and configured to make contact with the edge of the substrate placed at the body when the body is rotated. When the substrate is rotated, the vertical rod is spaced apart from the edge of the substrate. The contact portion includes a streamlined side surface. The support rod includes a contact portion. The contact portion tapers toward the end of the support rod when viewed from the top of the support rod.
    Type: Application
    Filed: August 4, 2008
    Publication date: April 9, 2009
    Inventors: Woo-Seok Lee, Woo-Young Kim, Jeong-Yong Bae
  • Publication number: 20090093127
    Abstract: The invention relates to an arrangement of electronic semiconductor components on a carrier system for treating the semiconductor components with a liquid medium. A semiconductor component is detachably mounted on the carrier system with the active side thereof in such a way that the arrangement comprises a gap at least in the edge region and partially between the semiconductor components and the carrier system. The aim of the invention is to provide a detachable arrangement of electronic semiconductor components on a mechanically stable carrier system for safely handling the semiconductor components during the production process, wherein the capillarity of the gap between the semiconductor components and the carrier system is reduced in a controlled manner, thus preventing the damaging effect of a liquid medium seeping into the gap. To this end, the surface of the carrier system is shaped in such a way that the gap is widened along the entire edge region thereof.
    Type: Application
    Filed: December 9, 2008
    Publication date: April 9, 2009
    Inventors: Stephan Bradl, Michael Melzl, Josef Schwaiger, Thilo Stache
  • Publication number: 20090093113
    Abstract: A process is disclosed to form through silicon vias in a silicon wafer. The method comprises, forming a dielectric layer on a silicon wafer, forming a masking layer using photolithography, etching the dielectric layer, and electrochemically etching a through silicon via in the silicon wafer.
    Type: Application
    Filed: September 26, 2008
    Publication date: April 9, 2009
    Inventor: John Flake
  • Publication number: 20090093126
    Abstract: A method of processing a semiconductor substrate (3) comprises spinning the semiconductor substrate (3) while dispensing a reactive etching agent (7) onto a first surface of the spinning substrate (3) to etch a first region (8) of the surface (3). Simultaneously, a neutralising agent (9) is dispensed onto the first surface to neutralise etching agent (9) that has flowed away from the first region (8) of the surface (3), thereby substantially preventing processing of another region (10) of the first surface located nearer an edge of the substrate (3) than is the first region (8). The processing may be etching.
    Type: Application
    Filed: September 8, 2006
    Publication date: April 9, 2009
    Applicant: NXP B.V.
    Inventor: Philippe Garnier
  • Publication number: 20090087991
    Abstract: A manufacturing method of a semiconductor device, which etches a layer to be etched on a substrate into a predetermined pattern based on a first pattern of photoresist produced by exposing and developing a photoresist film, the manufacturing method includes the steps of, patterning an organic membrane based on a first pattern of the photoresist, forming an SiO2 film on the patterned organic membrane, etching the SiO2 film so that the SiO2 remains only in a side wall section of the organic membrane and forming a second pattern of the SiO2 film by removing the organic membrane.
    Type: Application
    Filed: September 24, 2008
    Publication date: April 2, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Koichi Yatsuda, Eiichi Nishimura
  • Publication number: 20090081881
    Abstract: An additive containing a hexafluorosilicic acid solution (H2SiF6+H2O) is sequentially inputted into a phosphoric acid solution pooled in an immersion bath from an additive input mechanism. Further, a trap agent containing a fluoroboric acid solution (HBF4+H2O) is inputted into the phosphoric acid solution from a trap agent input mechanism. F? which accelerates etching of a silicon nitride film is added as appropriate by sequentially inputting the additive and siloxane which increases by the sequential input is etched with hydrofluoric acid generated by decomposition of the fluoroboric acid, to thereby suppress a significant increase in the concentration of siloxane. This makes it possible to maintain respective initial etching rates of the silicon nitride film and a silicon oxide film.
    Type: Application
    Filed: September 3, 2008
    Publication date: March 26, 2009
    Inventor: Hiromi Kiyose
  • Publication number: 20090075438
    Abstract: In a method of fabricating organic light emitting diode display, a planarization layer is annealed, cured, provided with an ashing treatment, and surface-treated to reduce roughness of the planarization layer. Therefore, it is possible to improve reduce problems such as a decrease in reflectivity and variation of color coordinates of the organic light emitting diode display due to the roughness of the planarization layer.
    Type: Application
    Filed: September 12, 2008
    Publication date: March 19, 2009
    Applicant: Samsung SDI Co., Ltd.
    Inventors: Soo-Beom JO, Jong-Mo Yeo, Jong-Hoon Son, In-Young Jung, Kyung-Jin Yoo, Dae-Hyun No, Do-Hyun Kwon, Choong-Youl IM
  • Publication number: 20090075485
    Abstract: A method for forming a fine pattern of a semiconductor device comprises: forming a first hard mask film and an etch barrier film over a semiconductor substrate; forming a sacrificial pattern over the etch barrier film; forming a spacer on sidewalls of the sacrificial pattern; removing the sacrificial pattern; etching the etch barrier film and the hard mask film with the spacer as an etch mask to form an etch barrier pattern and a hard mask pattern; and removing the spacer and the etch barrier pattern, thereby improving yield and reliability of the device.
    Type: Application
    Filed: June 27, 2008
    Publication date: March 19, 2009
    Applicant: Hynix Semiconductor Inc
    Inventors: Keun Do BAN, Jun Hyeub SUN
  • Publication number: 20090075486
    Abstract: A surface treatment solution for finely processing a glass substrate containing multiple ingredients is used for the construction of liquid crystal-based or organic electroluminescence-based flat panel display devices without invoking crystal precipitation and/or increasing surface roughness. An etching solution of the invention contains, in addition to hydrofluoric acid (HF) and ammonium fluoride (NH4F), at least one acid whose dissociation constant is larger than that of HF. The concentration of the acid in the solution can advantageously be adjusted to maximize the etching rate.
    Type: Application
    Filed: September 25, 2008
    Publication date: March 19, 2009
    Inventors: Hirohisa Kikuyama, Tatsuhiro Yabune, Masayuki Miyashita, Tadahiro Ohmi
  • Publication number: 20090075481
    Abstract: The invention discloses a method of fabricating a first substrate and a method of recycling a second substrate during fabrication of the first substrate. The second substrate is heterogeneous for the first substrate. First, the fabricating method according to the invention is to prepare the second substrate. Subsequently, the fabricating method is to deposit a buffer layer on the second substrate. Then, the fabricating method is to deposit a semiconductor material layer on the buffer layer. The buffer layer assists the epitaxial growth of the semiconductor material layer, and serves as a lift-off layer. Finally, with an etching solution, the fabricating method is to only etch the lift-off layer to debond the second substrate away from the semiconductor material layer, where the semiconductor material layer serves as the first substrate.
    Type: Application
    Filed: September 12, 2008
    Publication date: March 19, 2009
    Inventors: Miin-Jang Chen, Wen-Ching Hsu, Suz-Hua Ho
  • Publication number: 20090061632
    Abstract: A method (100) of fabricating a device having at least one multi-cation high-k dielectric layer structure includes (101) providing a substrate having a semiconductor surface, (102) forming a multi-cation high-k dielectric layer on the semiconductor surface, and (103) forming a patterned masking layer including at least one masking layer region on the multi-cation high-k dielectric layer. A first wet etch process (104) removes at least a portion of the multi-cation high-k layer outside the patterned masking layer region, wherein at least one residual etch particle type is deposited on a surface of the substrate outside the patterned masking layer region.
    Type: Application
    Filed: July 25, 2008
    Publication date: March 5, 2009
    Inventor: Scott R. Summerfelt
  • Publication number: 20090061642
    Abstract: In a nozzle assembly for supplying processing solutions, the nozzle assembly includes a housing, a plurality of supply units arranged in the housing and through which different processing solutions flow onto the substrate, and a plurality of nozzles connected to the supply units, respectively, in such a configuration that a first nozzle selected from the nozzles is directed to the substrate and the remaining nozzles excluding the first nozzle are directed away from the substrate. Accordingly, the mechanical structure of the nozzle assembly may be simplified and the nozzles directed away from the substrate may be prevented from being contaminated by the processing solutions that are injected onto the substrate through the nozzle directed to the substrate.
    Type: Application
    Filed: August 28, 2008
    Publication date: March 5, 2009
    Inventor: Chong-Eui Ha
  • Publication number: 20090061541
    Abstract: Zero point shift based on thermal siphon effect occurring actually when a substrate is processed is detected accurately and corrected suitably. The semiconductor fabrication system comprises a gas supply passage (210) for supplying gas into a heat treatment unit (110), an MFC (240) for comparing an output voltage from a detecting unit for detecting the gas flow rate of the gas supply passage with a set voltage corresponding to a preset flow rate and controlling the gas flow rate of the gas supply passage to the set flow rate, and a control unit (300).
    Type: Application
    Filed: June 28, 2006
    Publication date: March 5, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shuji Moriya, Tsuneyuki Okabe, Hiroyuki Ebi, Tetsuo Shimizu, Hitoshi Kitagawa
  • Publication number: 20090047790
    Abstract: Methods and etchant compositions for wet etching to selectively remove a hafnium aluminum oxide (HfAlOx) material relative to silicon oxide (SiOx) are provided.
    Type: Application
    Filed: August 16, 2007
    Publication date: February 19, 2009
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Prashant Raghu, Yi Yang
  • Publication number: 20090029560
    Abstract: In a method for treating a semiconductor substrate, a single substrate is positioned in a single-substrate process chamber and subjected to wet etching, cleaning and/or drying steps. The single substrate may be exposed to etch or clean chemistry in the single-substrate processing chamber as turbulence is induced in the etch or clean chemistry to thin the boundary layer of fluid attached to the substrate. Megasonic energy and/or disturbances in the chamber surfaces may provide the turbulence for boundary layer thinning. According to another aspect of a method according to the present invention, megasonic energy may be directed into a region within the single-substrate process chamber to create a zone of boundary layer thinning across the substrate surface, and a single substrate may be translated through the zone during a rinsing or cleaning process within the chamber to optimize cleaning/rinsing performance within the zone.
    Type: Application
    Filed: June 2, 2006
    Publication date: January 29, 2009
    Inventors: Eric Hansen, Victor Mimken, Martin Bleck, R. Rao Yalamanchili, John Rosato, Wyland L. Atkins
  • Publication number: 20090023265
    Abstract: Provided are an anionic surfactant-containing etching solution for removal of an oxide film, preparation methods thereof, and methods of fabricating a semiconductor device using the etching solution. The etching solution includes a hydrofluoric acid (HF), deionized water, and an anionic surfactant. The anionic surfactant is a compound in which an anime salt is added as a counter ion, as represented by R1—OSO3?HA+, R1—CO2?HA+,R1—PO42—(HA+)2,(R1)2—PO4—HA+, or R1—SO3—HA+ where R1 is a straight or branched hydrocarbon group of C4 to C22 and A is ammonia or amine. The etching solution provides a high etching selectivity ratio of an oxide film to a nitride film or a polysilicon film. Therefore, in a semiconductor device fabrication process such as a STI device isolation process or a capacitor formation process, when an oxide film is exposed together with a nitride film or a polysilicon film, the etching solution can be efficiently used in selectively removing only the oxide film.
    Type: Application
    Filed: October 1, 2008
    Publication date: January 22, 2009
    Inventors: CHANG-SUP MUN, Hyung-Ho Ko, Woo-Gwan Shim, Chang-Ki Hong, Sang-Jun Choi
  • Publication number: 20090017636
    Abstract: A titanium nitride-stripping liquid for stripping a titanium nitride coating film, the titanium nitride-stripping liquid being capable of stripping a titanium nitride coating film even in a semiconductor multilayer laminate having particularly a layer that includes tungsten or a tungsten alloy, without corrosion of this layer is provided, and furthermore, a titanium nitride-stripping liquid which can strip a titanium nitride coating film without affecting an insulating layer is provided. A titanium nitride-stripping liquid including hydrofluoric acid, hydrogen peroxide and water, and further including an inorganic acid other than hydrofluoric acid.
    Type: Application
    Filed: July 10, 2008
    Publication date: January 15, 2009
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Akira Kumazawa, Takahiro Eto, Takayuki Haraguchi
  • Publication number: 20090017622
    Abstract: A chemical treatment apparatus and a method for performing a chemical treatment of a wafer, etc., by supplying a chemical via a cell. The apparatus includes a cylindrical inner cell and a cylindrical outer cell with open ends disposed at an outer circumference of the inner cell. The outer cell is axially movable to vary the width of a slit formed between a bottom end of the outer cell and a top surface of the substrate-holding means by the axial movement, thereby adjusting the discharge rate of the chemical and varying the pressure of the chemical.
    Type: Application
    Filed: September 26, 2008
    Publication date: January 15, 2009
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: Yoshiaki Tomari
  • Publication number: 20090017626
    Abstract: A semiconductor wet etchant includes deionized water, a fluorine-based compound, an oxidizer and an inorganic salt. A concentration of the fluorine-based compound is 0.25 to 10.0 wt % based on a total weight of the etchant, a concentration of the oxidizer is 0.45 to 3.6 wt % based on a total weight of the etchant, and a concentration of the inorganic salt is 1.0 to 5.0 wt % based on a total weight of the etchant. The inorganic salt comprises at least one of an ammonium ion (NH4+) and a chlorine ion (Cl?).
    Type: Application
    Filed: July 8, 2008
    Publication date: January 15, 2009
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jung-Dae Park, Young You, Tae-Hyo Choi, Hun-Jung Yi, Kun-Hyung Lee
  • Publication number: 20090004876
    Abstract: An object of the present invention is to provide a method for etching a single wafer, which effectively realizes a high flatness of wafer and an increase in productivity thereof. In a method for etching a single wafer, a single thin disk-like wafer sliced from a silicon single crystal ingot is spun, and a front surface of the wafer is etched with an etching solution supplied thereto. In the method, a plurality of supply nozzles are disposed above and opposite to the front surface of the wafer at different portions in the radial direction of the wafer, respectively; and then one or more conditions selected from the group consisting of temperatures, kinds, and supply flow rates of etching solutions from the plurality of supply nozzles are changed.
    Type: Application
    Filed: January 24, 2007
    Publication date: January 1, 2009
    Inventors: Sakae Koyata, Tomohiro Hashii, Katsuhiko Murayama, Kazushige Takaishi, Takeo Katoh
  • Publication number: 20080318432
    Abstract: A reactor for processing semiconductor wafers with electrodes and other surfaces that can be one of heated, textured and/or pre-coated in order to facilitate adherence of materials deposited thereon, and eliminate the disadvantages resulting from the spaulding, flaking and/or delaminating of such materials which can interfere with semiconductor wafer processing.
    Type: Application
    Filed: September 2, 2008
    Publication date: December 25, 2008
    Applicant: TEGAL CORPORATION
    Inventors: Stephen P. DeOrnellas, Leslie G. Jerde, Kurt A. Olson
  • Publication number: 20080311751
    Abstract: A method for etching a layer that is to be removed on a substrate, in which a Si1-xGex layer is the layer to be removed, this layer being removed, at least in areas, in gas phase etching with the aid of an etching gas, in particular ClF3. The etching behavior of the Si1-xGex layer can be controlled via the Ge portion in the Si1-xGex layer. The etching method is particularly well-suited for manufacturing self-supporting structures in a micromechanical sensor and for manufacturing such self-supporting structures in a closed hollow space, because the Si1-xGex layer, as a sacrificial layer or filling layer, is etched highly selectively relative to silicon.
    Type: Application
    Filed: July 1, 2005
    Publication date: December 18, 2008
    Inventors: Franz Laermer, Silvia Kronmueller, Tino Fuchs, Christina Leinenbach
  • Publication number: 20080303098
    Abstract: In one aspect, there is provided a method of manufacturing a semiconductor device. The method comprises depositing a barrier layer over a low-k dielectric layer located over a semiconductor substrate over which a metal layer is deposited. A chemical mechanical polish process is used to remove a portion of the metal layer and the barrier layer and a dry etch is used to remove a remaining portion of the barrier layer.
    Type: Application
    Filed: June 7, 2007
    Publication date: December 11, 2008
    Applicant: Texas Instruments, Incorporated
    Inventors: Yaojian Leng, Robert D. Slater, Nathan J. Kruse
  • Publication number: 20080305564
    Abstract: A manufacturing apparatus for a semiconductor device, treating a SiN film formed on a wafer with phosphoric acid solution, including a processing bath to store phosphoric acid solution provided for treatment of the wafer, a control unit for calculating integrated SiN etching amount of the phosphoric acid solation, determining necessity of quality adjustment of the phosphoric acid solution, based on correlation between the integrated SiN etching amount calculated and etching selectivity to oxide film, and calculating a quality adjustment amount of the phosphoric acid solution as needed, and also including a mechanism to adjust the quality of the phosphoric acid solution based on the quality adjustment amount calculated.
    Type: Application
    Filed: June 4, 2008
    Publication date: December 11, 2008
    Inventor: Hisashi Okuchi
  • Publication number: 20080305563
    Abstract: A system and method for controlling resistivity uniformity in a Copper trench structure by controlling the CMP process is provided. A preferred embodiment comprises a system and a method in which a plurality of CMP process recipes may be created comprising at least a slurry arm position. A set of metrological data for at least one layer of the semiconductor substrate may be estimated, and an optimum CMP process recipe may be selected based on the set of metrological data. The optimum CMP process recipe may be implemented on the semiconductor substrate.
    Type: Application
    Filed: June 7, 2007
    Publication date: December 11, 2008
    Inventors: Francis Ko, Chun-Hsien Lin, Jean Wang, Chih-Wei Lai, Ping-Hsu Chen, Henry Lo
  • Publication number: 20080305601
    Abstract: A composite dielectric layer including a nitride layer over an oxide layer serves the dual function of acting as an SMT (stress memorization technique) film while an annealing operation is carried out and then remains partially intact as it is patterned to further serve as an RPO film during a subsequent silicidation process. The need to form and remove two separate dielectric material layers is obviated. The nitride layer protects the oxide layer to alleviate oxide damage during a pre-silicidation PAI (pre-amorphization implant) process thereby preventing oxide attack during a subsequent HF dip operation and preventing nickel silicide spiking through the attacked oxide layer during silicidation.
    Type: Application
    Filed: June 6, 2007
    Publication date: December 11, 2008
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventor: Jyh-Huei Chen