Logic Design Processing Patents (Class 716/101)
  • Patent number: 8843871
    Abstract: Systems and techniques are described for estimating optimal gate sizes in a circuit design using numerical delay models of cells and cell types in a technology library. Gate sizes are optimized in the circuit design in a reverse-levelized processing order. Gates that are at the same level in the reverse-levelized processing order, and whose inputs are electrically connected to the same driver output are optimized together. A closed-form expression is used to determine the optimized size for each gate in a set of gates that are optimized together. Some embodiments perform multiple optimization iterations, wherein in each optimization iteration all of the gates in the circuit design are processed in the reverse-levelized processing order. The iterative optimization process terminates when one or more termination conditions are met.
    Type: Grant
    Filed: June 29, 2012
    Date of Patent: September 23, 2014
    Assignee: Synopsys, Inc.
    Inventors: Amir H. Mottaez, Mahesh A. Iyer
  • Patent number: 8839161
    Abstract: A semiconductor device includes a first semiconductor chip including a plurality of driver circuits and an output switching circuit coupled to the plurality of driver circuits. The device also includes a second semiconductor chip and a plurality of through silicon vias provided on at least one of the first and second semiconductor chips. The output switching circuit is coupled between the plurality of driver circuits and the plurality of the through silicon vias, and outputs each of signals from the plurality of driver circuits to corresponding one of the plurality of through silicon vias.
    Type: Grant
    Filed: April 29, 2013
    Date of Patent: September 16, 2014
    Assignee: PS4 Luxco S.A.R.L.
    Inventors: Kayoko Shibata, Hitoshi Miwa, Yoshihiko Inoue
  • Patent number: 8839163
    Abstract: A behavioral synthesis method according to the present invention includes generating a scheduled CDFG based on behavioral description information, generating a lifetime for each variable based on the scheduled CDFG, selecting m variables whose lifetimes do not overlap on a time axis, allocating a first register to a first variable having a first bit width and bits of the first bit width within another variable, allocating a second register to bits other than the bits of the first bit width within another variable, and outputting circuit information of a synthesized circuit including the first and second registers.
    Type: Grant
    Filed: November 5, 2012
    Date of Patent: September 16, 2014
    Assignee: Renesas Electronics Corporation
    Inventor: Motohide Ootsubo
  • Patent number: 8839162
    Abstract: Exemplary embodiments include a method for modifying a circuit synthesis flow having automated instructions, the method including receiving circuit design input for a circuit design, receiving custom specifications to the circuit design input, synthesizing high level logic from the circuit design input, placing logic on the circuit design, refining the circuit design and generating a circuit description from the circuit design.
    Type: Grant
    Filed: July 14, 2010
    Date of Patent: September 16, 2014
    Assignee: International Business Machines Corporation
    Inventors: Michael D. Amundson, Dorothy Kucar, Ruchir Puri, Chin Ngai Sze, Matthew M. Ziegler
  • Patent number: 8832638
    Abstract: In a method of designing a printed circuit board, a package capacitance, a package inductance, and a chip capacitance of an actual memory device are calculated. A signal line capacitance and a signal line inductance per unit length of a signal line are calculated based on characteristics of the printed circuit board. A length of the signal line for each pin is determined based on the package capacitance and the signal line capacitance.
    Type: Grant
    Filed: February 28, 2013
    Date of Patent: September 9, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-Hoon Jeong, Chang-Woo Ko, Ki-Jae Song, Hun-Kyo Seo
  • Patent number: 8832612
    Abstract: A method is provided to convert an analog mixed-signal schematic design to a digital netlist: digital blocks within the schematic design are converted to digital netlist modules; analog blocks within the schematic design are converted to analog netlist modules: at least one digital netlist module includes a first identifier for a component that is shared between at least one digital block and at least one analog block within the schematic design; an analog netlist module that corresponds to the at least one analog block within the design includes a second identifier for the shared component that is different from the first identifier; the analog netlist modules are converted to corresponding digital netlist modules; the first identifier is substituted for the second identifier in the course of translating the analog netlist module that corresponds to the at least one analog block.
    Type: Grant
    Filed: November 6, 2013
    Date of Patent: September 9, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Donald J. O'Riordan, Prabal Kanti Bhattacharya, Timothy Martin O'Leary
  • Patent number: 8826204
    Abstract: Various methods for analyzing mutual inductance in an integrated circuit layout are disclosed. In one exemplary embodiment, for instance, a circuit description indicative of the layout of signal wires and ground wires in the circuit is received. The signal wires and the ground wires are grouped into at least a first bundle and a second bundle, wherein the first bundle and the second bundle each comprise a respective signal-wire segment and one or more corresponding ground-wire segments. A representative dipole moment is calculated for the first bundle. Using the representative dipole moment, the mutual inductance between the first bundle and the second bundle is calculated. Computer-readable media storing computer-executable instructions for causing a computer to perform any of the disclosed methods or storing design databases created or modified using any of the disclosed techniques are also disclosed.
    Type: Grant
    Filed: September 30, 2013
    Date of Patent: September 2, 2014
    Assignee: Mentor Graphics Corporation
    Inventors: Roberto Suaya, Rafael Escovar, Salvador Ortiz
  • Patent number: 8813006
    Abstract: In one embodiment of the invention, a method for electronic circuit design is disclosed. The method includes analyzing a netlist of a subcircuit to determine one or more input pins and one or more output pins; forming an arc graph of the subcircuit including one or more timing arcs between the one or more input pins and the one or more output pins; and reducing the number of transistors to perturb to perform a sensitivity analysis for within die process variations over the one or more timing arcs to reduce the number of simulations to characterize the subcircuit.
    Type: Grant
    Filed: March 26, 2008
    Date of Patent: August 19, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Harindranath Parameswaran, Sachin Shrivastava
  • Patent number: 8813018
    Abstract: A logic design system operable to configure an integrated circuit device using custom logic design data is disclosed. The disclosed logic design system includes a computer-aided design tool that may be used to determine a memory space estimate based on a custom logic design data analysis. A memory size analysis may be performed on the custom logic design data to determine the maximum amount of stack memory that is required to execute procedures in the device. The logic design system may also monitor for changing memory requirements of the custom logic design. The logic design system may configure the device with the custom logic design data based on the memory space estimate.
    Type: Grant
    Filed: October 5, 2012
    Date of Patent: August 19, 2014
    Assignee: Altera Corporation
    Inventors: Benjamin Gamsa, Gordon Raymond Chiu
  • Patent number: 8813002
    Abstract: The embodiment is a non-transitory computer readable storage medium storing a design support program which causes a computer to generate design data for a circuit board in which elements are placed. The program causes the computer to perform: storing, in response to an operation input, operation information in an operation storage section; storing a function of a program executed based on the operation input in a function history storage section; upon detection of an operation of a command causing the computer to execute a predetermined function for generating the design data, acquiring a selected element and storing the selected element in an element information storage section; and detecting an abnormal end of the predetermined function to output the function of the program in the function history storage section, the operation information in the operation information storage section, and the element in the element information storage section to a log file.
    Type: Grant
    Filed: August 29, 2013
    Date of Patent: August 19, 2014
    Assignee: Fujitsu Limited
    Inventor: Motoyuki Tanisho
  • Patent number: 8813003
    Abstract: A system and methods are disclosed for inferring higher level descriptions of circuit connectivity from register transfer level (RTL) netlists in order to provide more understandable and manageable design descriptions for complex System-on-Chip (SOC) designs. In particular, rule-based interface matching is automatically performed by analyzing actual port names on instances of functional elements and blocks to form signal groupings that comprise a higher-level abstracted description. An example syntax is provided for defining rules that are used to define how various analysis are performed. Data describing standard interfaces on common Intellectual Property (IP) blocks is optionally made available to facilitate interface matching. Also, a facility is included to allow user-guided mapping on instantiated interfaces with respect to actual port names in an RTL-level design.
    Type: Grant
    Filed: October 17, 2013
    Date of Patent: August 19, 2014
    Assignee: Atrenta, Inc.
    Inventors: Anshuman Nayak, Samantak Chakrabarti, Brijesh Agrawal, Nitin Bhardwaj
  • Patent number: 8813017
    Abstract: In one embodiment, a method for determining a contour simplification of an object for a simulation is provided. An object in a layout of a transistor design to be created with the photolithographic process is determined. The object includes a width and a length in the layout. A contour simulation is performed to determine a generated contour object. The contour simulation simulates parametric variation factors that may occur in the photolithographic process. An adjusted width and adjusted length of the object is then determined based on the generated contour object. The adjusted width and the adjusted length are usable to determine a parametric model for simulation of the object. For example, a layout versus schematic (LVS) tool may back-annotate the layout. Then, a SPICE simulation may use the output of the LVS tool to verify the electrical behavior of the transistor using the adjusted width and adjusted length.
    Type: Grant
    Filed: October 31, 2011
    Date of Patent: August 19, 2014
    Assignee: Mentor Graphics Corporation
    Inventors: Jean-Marie Brunet, William S. Graupp
  • Patent number: 8806405
    Abstract: A method is provided to produce a constraint information for use to implement a routing process used to generate routing signal lines in an integrated circuit design comprising: producing a net topology pattern structure that corresponds to a logical net that is associated with at least two instance item structures of at least one functional design, wherein the net topology pattern structure is associated with the at least two instance item structures and includes multiple constituent structures that indicate at least one constraint upon physical implementation of the logical net structure.
    Type: Grant
    Filed: October 31, 2012
    Date of Patent: August 12, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Regis Colwell, Arnold Ginetti, Khalid ElGalaind, Thomas Jordan, Jose A. Martinez, Jeffrey Markham, Steven Riley, Chung-Do Yang
  • Publication number: 20140219016
    Abstract: A method includes selectively creating a first breakdown condition and a second breakdown condition at a semiconductor transistor structure. The first breakdown condition is between a source overlap region of the semiconductor transistor structure and a gate of the semiconductor transistor structure. The second breakdown condition is between ad rain overlap region of the semiconductor transistor structure and the gate.
    Type: Application
    Filed: February 5, 2013
    Publication date: August 7, 2014
    Applicant: QUALCOMM Incorporated
    Inventors: Xia Li, Bin Yang
  • Publication number: 20140217551
    Abstract: Device structures, fabrication methods, and design structures for a bipolar junction transistor. A first isolation structure is formed in a substrate to define a boundary for a device region. A collector is formed in the device region, and a second isolation structure is formed in the device region. The second isolation structure defines a boundary for the collector. The second isolation structure is laterally positioned relative to the first isolation structure to define a section of the device region between the first and second isolation structures.
    Type: Application
    Filed: February 4, 2013
    Publication date: August 7, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: James S. Dunn, Qizhi Liu
  • Patent number: 8793638
    Abstract: The present disclosure describes a method of optimizing a design for manufacture (DFM) simulation. The method includes receiving an integrated circuit (IC) design data having a feature, receiving a process data having a parameter or a plurality of parameters, performing the DFM simulation, and optimizing the DFM simulation. The performing the DFM simulation includes generating a simulation output data using the IC design data and the process data. The optimizing the DFM simulation includes generating a performance index of the parameter or the plurality of parameters by the DFM simulation. The optimizing the DFM simulation includes adjusting the parameter or the plurality of parameters at outer loop, middle loop, and the inner loop. The optimizing the DFM simulation also includes locating a nadir of the performance index of the parameter or the plurality of parameters over a range of the parameter or the plurality of parameters.
    Type: Grant
    Filed: July 26, 2012
    Date of Patent: July 29, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Keuing Hui, Yen-Wei Cheng, Yen-Di Tsen, Jong-I Mou, Chin-Hsiang Lin
  • Patent number: 8793629
    Abstract: A method for designing a system to be implemented on a field programmable gate array (FPGA) includes identifying an adder from an intermediate representation of the system. Components on the target device are designated to support and implement the adder as a partitioned adder having a plurality of sub-adders each registering an intermediate result.
    Type: Grant
    Filed: January 10, 2011
    Date of Patent: July 29, 2014
    Assignee: Altera Corporation
    Inventor: Steven Perry
  • Patent number: 8793628
    Abstract: The present patent document relates to a method and apparatus for maintaining coherency in a memory subsystem of an electronic system modeled in dual abstractions. The portions of the memory subsystem shared between the first abstraction and the second abstraction are shadowed in both abstractions, allowing either abstraction to coherently access memory written by the other. The memory subsystem can also reside solely in a first abstraction, where the second abstraction will synchronize to the first abstraction to access the memory subsystem. Flags associated with memory pages of the memory subsystem are set to indicate which abstraction has most recently updated the memory page. Prior to accessing a memory page, the system will check the flags, copying the contents of the memory in the other abstraction as needed to maintain coherency. The abstractions can operate either synchronously or asynchronously.
    Type: Grant
    Filed: March 11, 2013
    Date of Patent: July 29, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventor: Ashutosh Varma
  • Patent number: 8788987
    Abstract: A novel method for designing an integrated circuit (“IC”) by rescaling an original set of circuits in a design of the IC is disclosed. The original set of circuits to be rescaled includes sequential nodes, combinational nodes, and interconnects. Each sequential node is associated with a phase of a clock. The method generates a rescaled set of circuits that includes multiple replica sets of the circuits. Each replica set of circuits includes sequential nodes, combinational nodes, and interconnects that are identical to nodes and interconnects in the original set of circuits. Each sequential node is associated with a phase of a clock that is at a fraction of the phase of its corresponding sequential element in the original set. The method connects nodes in each replica set of circuits to a logically equivalent node in another replica set. The method replaces the original set of circuits with the rescaled set of circuits.
    Type: Grant
    Filed: April 6, 2011
    Date of Patent: July 22, 2014
    Assignee: Tabula, Inc.
    Inventors: Scott J. Weber, Christopher D. Ebeling, Andrew Caldwell, Steven Teig, Timothy J. Callahan, Hung Q. Nguyen, Shangzhi Sun, Shilpa V. Yeole
  • Patent number: 8788986
    Abstract: A method for expressing a hierarchy of scalabilities in complex systems, including a discrete event simulation and an analytic model, for analysis and prediction of the performance of multi-chip, multi-core, multi-threaded computer processors is provided. Further provided is a capacity planning tool for migrating data center systems from a source configuration which may include source systems with multithreaded, multicore, multichip central processing units to a destination configuration which may include destination systems with multithreaded, multicore and multichip central processing units, wherein the destination systems may be different than the source systems. Apparatus and methods are taught for the assembling of and utilization of linear and exponential scalability factors in the capacity planning tool when a plurality of active processor threads populate processors with multiple chips, multiple cores per chip and multiple threads per core.
    Type: Grant
    Filed: November 22, 2010
    Date of Patent: July 22, 2014
    Assignee: CA, Inc.
    Inventors: Kenneth C. Zink, Douglas M. Neuse, Christopher B. Walton
  • Patent number: 8782579
    Abstract: A connection verification method is disclosed. A computer verifies a connection between a first node and a second node by starting from the first node in a designed integrated circuit, based on connection information stored in a storage part. The computer detects whether a module connected to the second node is a predetermined module predetermined module having a logic condition therein, based on connection relationship logic information stored in the storage part. The computer conducts a connection verification starting the module to verify a connection between the module and a third node when the module is the predetermined module.
    Type: Grant
    Filed: October 25, 2011
    Date of Patent: July 15, 2014
    Assignees: Fujitsu Limited, Fujitsu Semiconductor Limited
    Inventors: Satoshi Matsubara, Akira Kurokawa
  • Patent number: 8782578
    Abstract: Described are a system and method to control interface timing and/or voltage operations of signals transmitted between devices. A processor may be coupled through one or more bus interfaces of a bus to one or more corresponding interface timing and/or voltage comparison circuits and corresponding interface timing and/or voltage adjustment circuits.
    Type: Grant
    Filed: January 22, 2013
    Date of Patent: July 15, 2014
    Assignee: Rambus Inc.
    Inventor: Stephen G. Tell
  • Patent number: 8773920
    Abstract: A reference generator with programmable m and b parameters and methods of use are provided. A circuit includes a first generator operable to generate a first voltage including a fraction of a supply voltage. The circuit further includes a second generator operable to generate a second voltage. The circuit further includes a mixer and buffer circuit operable to output a reference voltage including a sum of the first and second voltages.
    Type: Grant
    Filed: February 21, 2012
    Date of Patent: July 8, 2014
    Assignee: International Business Machines Corporation
    Inventors: Darren L. Anand, John A. Fifield
  • Patent number: 8769449
    Abstract: Methods for generating a circuit design are disclosed. A plurality of cells is instantiated in the circuit design in response to user input. The set of interface parameters of each cell is arranged into a hierarchy of interface levels as indicated by an interface model corresponding to the cell. For each of the interface levels, values of the sets of interface parameters of cells included in the interface level are respectively propagated to other cells directly connected to the cell. In response to propagating a value of an interface parameter from another cell of the plurality of cells to the cell and the cell having a value of the corresponding interface parameter that is different from the propagated value, a value for the corresponding interface parameter of the cell is determined using a respective propagation function associated with the corresponding interface level.
    Type: Grant
    Filed: February 8, 2013
    Date of Patent: July 1, 2014
    Assignee: Xilinx, Inc.
    Inventors: Adam P. Donlin, Biping Wu, Kyle Corbett, Nabeel Shirazi, Shay P. Seng, Amit Kasat, Srinivas Beeravolu, Khang K. Dao, Jeffrey H. Seltzer, Christopher J. Case
  • Patent number: 8769455
    Abstract: Various embodiments use connectivity information or model(s), design attribute(s), and system intelligence layer(s) to make lower blocks at lower levels aware of changes made in other blocks at same or different levels to implement the design at different levels synchronously. Budgeting is performed for the design to distribute budgets to respective blocks in the design. The various budgets may be borrowed from one or more blocks and lent to a block in order for this block to meet closure requirements such that a total number of iterations of the reassembly process, which integrates lower level blocks into top level design, may be reduced or completely eliminated. The design attribute(s) or the connectivity model(s) or information is updated upon the identification of changes to provide the latest information or data for properly closing a design.
    Type: Grant
    Filed: December 18, 2012
    Date of Patent: July 1, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Sushobhit Singh, Amit Kumar, Oleg Levitsky
  • Patent number: 8768678
    Abstract: One or more embodiments provide a load balancing solution for improving the runtime performance of parallel HDL simulators. During compilation each process is analyzed to determine a simulation cost based on complexity of the HDL processes. During simulation, processes to be executed in the same simulation cycle are scheduled using the simulation costs computed at compile-time in order to reduce the delay incurred during simulation.
    Type: Grant
    Filed: September 26, 2011
    Date of Patent: July 1, 2014
    Assignee: Xilinx, Inc.
    Inventors: Valeria Mihalache, Christopher H. Kingsley, Jimmy Z. Wang, Kumar Deepak
  • Patent number: 8762904
    Abstract: Roughly described, a method for synthesizing a circuit design from a logic design includes developing candidate solutions for a particular signal path, a first candidate solution identifying a first library cells followed immediately downstream thereof by a first set of zero or more buffers, and a second candidate solution identifying a second library cell followed immediately downstream thereof by a second set of zero or more buffers, the first library cell and first set of buffers in combination being different from the second library cell and second set of buffers in combination. The computer system selects among the candidate solutions at least in part in dependence upon sensitivity of the solution to load capacitance in the particular path, and stores the selected solution in the storage for subsequent use in further developing and fabricating an integrated circuit device.
    Type: Grant
    Filed: March 28, 2012
    Date of Patent: June 24, 2014
    Assignee: Synopsys, Inc.
    Inventors: Chaeryung Park, Henry Sheng
  • Patent number: 8762905
    Abstract: Methods and systems for determining a numerical delay model based on one or more discretized delay models are described. A discretized delay model is a delay model in which the delay behavior is represented using a set of discrete data points of delay behavior. A numerical delay model is a delay model that can be used by a numerical solver to optimize a cost function. In general, computing delay using a numerical delay model is significantly faster than computing delay using discretized delay models. This performance improvement is important when optimizing a design for various metrics like timing, area and leakage power, because repeated delay computations are required in circuit optimization approaches.
    Type: Grant
    Filed: April 18, 2012
    Date of Patent: June 24, 2014
    Assignee: Synopsys, Inc.
    Inventors: Mahesh A. Iyer, Amir H. Mottaez
  • Patent number: 8756537
    Abstract: A method for manufacturing an electronic device is disclosed. A design description of the electronic device is generated using one or more computer aided design tools. Physical device data are generated that represent a physical description of the electronic device, which includes data determining connection points for connecting the electronic device to one or more external circuits. A physical embodiment of the electronic device is produced in accordance with the physical device data. Physical test member data is determined that represents conductors and contact points of a test member for testing the electronic device. The test member is produced in accordance with the test member data. The electronic device is tested with the test member.
    Type: Grant
    Filed: November 7, 2011
    Date of Patent: June 17, 2014
    Assignee: Microconnect Corp.
    Inventors: J. Lynn Saunders, Alan R. Loudermilk
  • Patent number: 8756540
    Abstract: Method and apparatus are described for improved circuit synthesis by EDA machinery. Circuit designs are synthesized to a target hardware platform with resulting hardware characteristics correlated back to component types in the synthesis-stage circuit design. The accumulated characteristics samples then contribute to a characteristics value for the component type. The characteristics value can be used in future synthesis runs to condition processing, e.g., choosing between alternative implementation possibilities, using the characteristics value to provide an accurate prediction of a hardware implementation for the component type.
    Type: Grant
    Filed: August 27, 2008
    Date of Patent: June 17, 2014
    Assignee: Altera Corporation
    Inventors: Gregg William Baeckler, Barbette Van Antwerpen
  • Patent number: 8756543
    Abstract: A method, system, and computer program product for verification of a state transition machine (STM) are provided in the illustrative embodiments. The STM representing the operation of a circuit configured to perform a computation is received. A segment of the STM is selected from a set of segments of the STM. A set of properties of the segment is determined. The set of properties is translated into a hardware description to form a translation. The segment is verified by verifying whether all relationships between a pre-condition and a post condition in the translation hold true for any set of inputs and any initial state of a hardware design under test. A verification result for the segment is generated. Verification results for each segment in the set of segments are combined to generate a verification result for the STM.
    Type: Grant
    Filed: April 29, 2011
    Date of Patent: June 17, 2014
    Assignee: International Business Machines Corporation
    Inventors: Viresh Paruthi, Peter Anthony Sandon, Jun Sawada
  • Patent number: 8756539
    Abstract: Maintaining a netlist while editing a circuit diagram. The circuit diagram may be displayed on a display. The circuit diagram may include a plurality of electronic components connected by nets and may also include modular block(s) which represent a circuit portion in a hierarchical fashion. A global netlist may be stored that includes information regarding the nets of the circuit diagram. User input may be received which modifies the circuit diagram. Accordingly, the global netlist may be updated in response to the user input modifying the circuit diagram. The circuit diagram may be updated on the display based on updating the global netlist. Receiving the user input and updating the global netlist and circuit diagram may be performed a plurality of times, in a dynamic fashion during edit time.
    Type: Grant
    Filed: July 31, 2009
    Date of Patent: June 17, 2014
    Assignee: National Instruments Corporation
    Inventors: B. Alexander Elliott, Rodney A. J. Draaisma
  • Patent number: 8756538
    Abstract: A method for implementing a hardware design that includes using a computer for receiving structured data that includes a representation of a basic hardware structure and a complex hardware structure that includes the basic hardware structure, parsing the structured data and generating, based on a result of the parsing, commands of a hardware design environment.
    Type: Grant
    Filed: February 19, 2013
    Date of Patent: June 17, 2014
    Assignee: International Business Machines Corporation
    Inventors: Hans-Werner Anderson, Uwe Brandt, Markus Buehler, Katherine Eve, Thomas Kalla, Jens Noack, Monika Strohmer
  • Patent number: 8745558
    Abstract: A method is provided for designing an integrated circuit. The method includes generating a net list of an integrated circuit design, wherein the net list includes one or more component cells selected from a cell library. The component cells include transmission gate logic cells and sourcing cells that drive the transmission gate logic cells. Each transmission gate logic cell has an associated timing model with a timing characteristic defined as a function of a driving strength attribute of a sourcing cell used to characterize the transmission gate logic cell. The method further includes auditing the net list to determine if a given sourcing cell in the integrated circuit design has a sufficient driving strength based at least on the driving strength attribute of a transmission gate logic cell being driven by the given sourcing cell.
    Type: Grant
    Filed: January 31, 2013
    Date of Patent: June 3, 2014
    Assignee: LSI Corporation
    Inventors: Joseph Garofalo, Barry L. Bartholomew, Mujiang Luo, You Xin Rao
  • Patent number: 8745567
    Abstract: A logical congestion metric analysis engine predicts pre-placement routing congestion of integrated circuit designs. The engine uses a method employing new congestion-predicting metrics derived from structural register transfer level (RTL). The method compares multiple metrics to those stored in a knowledge base to predict routing congestion. The knowledge base contains routing results for multiple designs using the same technology. For each design the knowledge base holds pre-placement metric values and the corresponding post-placement and routing congestion results. A logical congestion debug tool allows users to visualize and fix congestion issues.
    Type: Grant
    Filed: July 26, 2013
    Date of Patent: June 3, 2014
    Assignee: Atrenta, Inc.
    Inventors: Ravi Varadrajan, Jitendra Gupta, Priyank Mittal, Tapeesh Gupta, Navneet Mohindru
  • Patent number: 8739086
    Abstract: Embodiments that design integrated circuits using a 1×N compiler in a closed-loop 1×N methodology are disclosed. Some embodiments create a physical design representation based on a behavioral representation of a design for an integrated circuit. The behavioral representation may comprise RTL HDL with one or more 1×N building blocks. The embodiments may alter elements of the 1×N building block by using logic design tools, synthesis tools, physical design tools, and timing analysis tools. Further embodiments comprise an apparatus having a first generator to generate a behavioral representation of a design for an integrated circuit, a second generator to generate a logical representation of the design, and a third generator to generate a physical design representation of the design, wherein the representation generators may create updated versions of the representations which reflect alterations made to 1×N building block elements.
    Type: Grant
    Filed: February 1, 2012
    Date of Patent: May 27, 2014
    Assignee: Mentor Graphics Corporation
    Inventors: Benjamin J. Bowers, Matthew W. Baker, Anthony Correale, Jr., Irfan Rashid, Paul M. Steinmetz
  • Patent number: 8739084
    Abstract: Systems and methods for optimizing Boolean logic are provided. The systems generate a one-dimensional array having a plurality of values corresponding to a plurality of indices, and determine a first location of a Karnaugh map in a first dimension thereof. The first location is determined using a first value of the one-dimensional array corresponding to a first index of the one-dimensional array. The systems also determine a second location of the Karnaugh map in a second dimension thereof. The second location is determined using a second value of the one-dimensional array corresponding to a second index of the one-dimensional array. The systems evaluate a target location within the Karnaugh map corresponding to the first and second indices in the first and second dimensions of the Karnaugh map, respectively, and search for at least one of a simplified minterm and a simplified implicant having the target location using the one-dimensional array.
    Type: Grant
    Filed: July 16, 2012
    Date of Patent: May 27, 2014
    Inventor: Matthew James Swann
  • Patent number: 8737392
    Abstract: A processor includes a plurality of processor tiles, each tile including a processor core, and an interconnection network interconnects the processor cores and enables transfer of data among the processor cores. The interconnection network has a plurality of dimensions in which an ordering of dimensions for routing data is configurable.
    Type: Grant
    Filed: October 21, 2011
    Date of Patent: May 27, 2014
    Assignee: Tilera Corporation
    Inventors: Liewei Bao, Ian Rudolf Bratt
  • Patent number: 8735296
    Abstract: A method of forming multiple different width dimension features simultaneously. The method includes forming multiple sidewall spacers of different widths formed from different combinations of conformal layers on different mandrels, removing the mandrels, and simultaneously transferring the pattern of the different sidewall spacers into an underlying layer.
    Type: Grant
    Filed: July 18, 2012
    Date of Patent: May 27, 2014
    Assignee: International Business Machines Corporation
    Inventors: Ryan O. Jung, Sivananda K. Kanakasabapathy
  • Patent number: 8739089
    Abstract: User's register transfer level (RTL) design is analyzed and instrumented so that signals of interest are preserved and can be located in the netlist after synthesis. Then, the user's original flow of RTL synthesis and design partition is performed. The output is analyzed to locate the signals of interest. Latches are selectively inserted to the netlist to ensure that signal values can be accessed at runtime. After that, a place and route (P&R) process is performed, and the outputs are analyzed to correlate signal names to registers (flip-flops and latches) or memory blocks locations in field programmable gate array (FPGA) devices. A correlation database is built and kept for runtime use. During runtime, a software component may be provided on a workstation for the user to query signal values corresponding to RTL hierarchical signal names.
    Type: Grant
    Filed: August 28, 2012
    Date of Patent: May 27, 2014
    Assignees: Synopsys, Inc.
    Inventors: Hung Chun Chiu, Meng-Chyi Lin, Kuen-Yang Tsai, Sweyyan Shei, Hwa Mao, Yingtsai Chang
  • Patent number: 8739109
    Abstract: Disclosed is a development support apparatus of a semiconductor device that makes it possible to easily develop the semiconductor device, a development support method, and a program product. A design evaluation apparatus is a design evaluation apparatus having an analog front-end unit for inputting a measurement signal of a sensor and an MCU unit, which has a GUI processing unit for displaying a GUI corresponding to a circuit configuration of the analog front-end unit and a register setting unit that generates setting information for setting up the circuit configuration and a circuit characteristic of the analog front-end unit based on an operation of the GUI by a user, and sets the generated setting information in the analog front-end unit through the MCU unit.
    Type: Grant
    Filed: October 31, 2012
    Date of Patent: May 27, 2014
    Assignee: Renesas Electronics Corporation
    Inventor: Yuji Shimizu
  • Patent number: 8739094
    Abstract: A method of estimating power consumption of an electronic device is performed by a processing device. The estimating includes estimating a power consumption of a gate-level implementation of an electronic device design. The estimating further includes independently calculating for each of a plurality of implementation-invariant nodes of the design an incremental power dissipation associated with that node.
    Type: Grant
    Filed: December 22, 2011
    Date of Patent: May 27, 2014
    Assignee: LSI Corporation
    Inventors: Martin Fennell, James Monthie, Iain Stickland
  • Patent number: 8732637
    Abstract: Methods and apparatuses are described for formally verifying a bit-serial division circuit design or a bit-serial square-root circuit design. Some embodiments formally verify a bit-serial division circuit design using a set of properties that can be efficiently proven using a bit-level solver. In some embodiments, the set of properties that are used for verifying a bit-serial division circuit design does not include any terms that multiply a w-bit partial quotient with the divisor. Some embodiments formally verify a bit-serial square-root circuit design using a set of properties that can be efficiently proven using a bit-level solver. In some embodiments, the set of properties that are used for verifying a bit-serial square-root circuit design does not include any terms that compute a square of a w-bit partial square-root.
    Type: Grant
    Filed: July 30, 2012
    Date of Patent: May 20, 2014
    Assignee: Synopsys, Inc.
    Inventors: Himanshu Jain, Carl P. Pixley
  • Patent number: 8732636
    Abstract: Disclosed are a method, system, and computer program product for implementing various embodiments of the methods for implementing multi-power domain digital or mixed-signal verification and low power simulation. The method or the system comprises automatically generating one or more net or terminal expression, set, or one or more overriding net or terminal expression by reading, importing, or interpreting the power data file for the electronic circuit design; identifying one or more schematics of the electronic circuit design; generating an annotated schematic of the electronic circuit design by automatically annotating at least one of the one or more schematics with some of the one or more net or terminal expression, set, or one or more overriding net or terminal expression; and performing verification of the electronic circuit design by using at least the annotated schematic.
    Type: Grant
    Filed: April 1, 2010
    Date of Patent: May 20, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Arnold Ginetti, Donald J. O'Riordan, Madhur Sharma
  • Patent number: 8732630
    Abstract: Some embodiments provide support for real number modeling in SystemVerilog by defining built-in nettypes with real data type and resolution functions natively in SystemVerilog and allow a simple path for porting Verilog-AMS wreal modeling to SystemVerilog modeling. Some embodiments provide support for incompatible nettypes and for net coercion in SystemVerilog. Some embodiments provide support for SystemVerilog reals net connecting to electrical nets and support for SystemVerilog real signals connecting to Verilog-AMS wreal signals. Some embodiments combine the strengths of Verilog-AMS and SystemVerilog languages to build a solution for value conversion between incompatible nets and an effective way to configure, simulate, or verify mixed-signal designs that are written in SystemVerilog language.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: May 20, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Abhijeet S. Kolpekwar, Aaron M. Spratt, William S. Cranston, Chandrashekar L. Chetput
  • Patent number: 8732651
    Abstract: A design system provides data structures to store parameters of physical structures that can be viewed and modified in a front-end process through a logical design interface. In this way, system behavior defined by component structure can be evaluated and modified through a schematic representation of the data, regardless of a state of data representing the physical layout of interconnected physical structures. In electric circuit applications, for example, high frequency circuits can be incrementally designed and evaluated through structural parameters defined in a schematic diagram data abstraction without modifying and evaluating a layout data abstraction of the circuit directly.
    Type: Grant
    Filed: April 13, 2009
    Date of Patent: May 20, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Taranjit Singh Kukal, Nikhil Gupta, Steve Durrill, Vikrant Khanna, Dingru Xiao
  • Patent number: 8732635
    Abstract: A programmable logic device (PLD) includes a non-volatile memory, a configuration memory, and a control circuitry. The control circuitry couples to the non-volatile memory and to the configuration memory. A set of voltages are derived from the outputs of the control circuitry, and are applied to circuitry within the PLD.
    Type: Grant
    Filed: July 1, 2008
    Date of Patent: May 20, 2014
    Assignee: Altera Corporation
    Inventors: David Lewis, Christopher F. Lane, Sarathy Sribhashyam, Srinivas Perisetty, Tim Vanderhoek, Vaughn Betz, Thomas Yau-Tsun Wong, Andy L. Lee
  • Patent number: 8732632
    Abstract: SOC designs increasingly feature IP cores with standardized wrapper cells having vendor-provided test patterns for the internal logic. To test wrapper, interconnect, and other boundary logic, a boundary model is extracted from the design in a synthesis or ATPG environment. Wrapper cells are identified and boundary logic extracted by structural tracing of wrapper chains and tracing from core inputs/outputs to the wrapper cells. A created boundary model excludes core internal logic tested by vendor-provided test patterns to be migrated to the containing chip interface. An SOC ATPG model is built including boundary models for all embedded cores, interconnects, and any other logic residing at the SOC top hierarchical level. This model is very compact yet accurate for testing logic external to all embedded cores. Test time is reduced and test pattern generation greatly simplified, while featuring good test coverage. The same approach is used for 3D packages having multiple dies.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: May 20, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Brion Keller, Pradeep Nagaraj, Richard Schoonover, Vivek Chickermane
  • Patent number: 8726213
    Abstract: Some embodiments of the invention provide a configurable integrated circuit (“IC”). The configurable IC includes a set of multiplexers that each has a set of input terminals, a set of output terminals, and a set of select terminals. The set of multiplexers includes a group of multiplexers, where at least one input terminal of each multiplexer in the group is a permanently inverting input terminal. During at least a set of cycles during the operation of the configurable IC, several multiplexers in the group of multiplexers are used to implement a particular function.
    Type: Grant
    Filed: March 30, 2009
    Date of Patent: May 13, 2014
    Assignee: Tabula, Inc.
    Inventors: Andrew Caldwell, Herman Schmit, Steven Teig
  • Patent number: 8726204
    Abstract: Disclosed herein are representative embodiments of methods and apparatus for managing and allocating hardware resources during RTL synthesis. For example, in one exemplary method disclosed herein, an RTL description of a circuit to be implemented in a target architecture is received. The target architecture of this embodiment comprises a fixed number of hardware resources in a class of hardware resources. One or more operator instances are determined from the RTL description received, where at least some of the operator instances are implementable by the hardware resources in the class of hardware resources. In this embodiment, and prior to initially synthesizing the RTL description into a gate-level netlist, assignment information indicative of how the operator instances are to be implemented using the hardware resources in the class of hardware resources is automatically determined. A graphical user interface is also provided that allows a user to view and modify the assignment information.
    Type: Grant
    Filed: June 3, 2010
    Date of Patent: May 13, 2014
    Assignee: Mentor Graphics Corporation
    Inventors: Henry Yu, Darren Zacher, Mandar Chitnis, Varad Joshi, Anil Khanna