Logic Design Processing Patents (Class 716/101)
  • Patent number: 8516411
    Abstract: Techniques and tool for selecting compilation parameter values for compiling a first description of a circuit design, such as a register transfer language description, into a second description of the circuit design, such as a model description for implementation with an emulator are provided. According to various examples of the invention, a compilation tool “elaborates” the first description of the circuit design into a third description for the circuit design. Typically, the third description or “elaboration” will cross one or more hierarchical boundaries represented in the first description of the circuit design, so that the elaboration will represent at least a portion of two or more hierarchical modules in the first description design according to a non-hierarchical or “flat” manner. Also, with some implementations of the invention, the elaboration may include only a simple representation of a corresponding portion of the circuit design.
    Type: Grant
    Filed: January 31, 2011
    Date of Patent: August 20, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Sanjay Gupta, Charles W. Selvidge, Praveen Shukla
  • Patent number: 8510704
    Abstract: An electronic system design platform and method are presented. The electronic system design platform includes a computing system that hosts a virtual channel manager. The virtual channel manager provides a graphical editor for receiving user input specifying design specifications of the integrated circuit, and the design specifications specify a number of functional blocks and current physical communication conditions between pairs of the functional blocks. The virtual channel manager is further adapted for designing a virtual channel interface for the current physical communication conditions between the pairs of the functional blocks, and selecting a communication protocol that is best suited for each virtual channel interface.
    Type: Grant
    Filed: April 6, 2010
    Date of Patent: August 13, 2013
    Assignee: VHDL, Inc
    Inventor: Fletcher Phinis McBeth
  • Patent number: 8510689
    Abstract: A method, system, and computer program product are disclosed for using pattern-dependent models at early stages of the design process. This addresses the key disadvantage of prior approaches which are restricted to using such models later in the design process for IC designs that are nearly complete. Pattern-dependent manufacturing effects are extracted from early stage designs and using the extracted pattern-dependent effects to efficiently and effectively design the integrated circuit. One or more contexts are built around one or more units of the design, with examples of units being a block or cell. The units are then used in the context to generate pattern-dependent data as a basis for one or more pattern-dependent models.
    Type: Grant
    Filed: August 15, 2011
    Date of Patent: August 13, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventor: David White
  • Patent number: 8504957
    Abstract: A computerized method of automatically identifying nets that are statistically likely to be power or ground nets in a complex integrated circuit design. The method, which does not require a-priori information, operates by determining electrical properties of each device or device terminal that is coupled to an analyzed net, and creating a mathematical description of overall electrical properties of these various devices. The method will then compare this mathematical description with at least various preset mathematical descriptions of power nets or a ground nets. If the mathematical description fits, the invention will at least provisionally determine that said analyzed net is a power net or a ground net. The invention may also determine likely voltages for these various power nets.
    Type: Grant
    Filed: February 21, 2012
    Date of Patent: August 6, 2013
    Inventor: Jesse Conrad Newcomb
  • Patent number: 8504975
    Abstract: A method of reliability evaluation and system fail warning using on chip parametric monitors. The method includes determining impact of parametric variation on reliability by identifying key parametric questions to be answered by stress, identifying parametric macros for each parameter, and identifying layout sensitive areas of evaluation. The process can also include a set of parametric macros in one of a test site or a product to be stressed, testing the set of parametric macros prior to start of stress and at each stress read out, and setting life time parameter profile for technology.
    Type: Grant
    Filed: January 5, 2012
    Date of Patent: August 6, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Jeanne P. Bickford, John R. Goss, Nazmul Habib, Robert McMahon
  • Patent number: 8504964
    Abstract: A through-hole layout apparatus and method for reducing differences in layout density of through-holes. The through-hole layout apparatus includes an extractor, which extracts an existing through-hole from design data for a semiconductor integrated circuit, a calculator, which calculates a layout density of through-holes in a predetermined region for each through-hole extracted by the extractor, a selector, which selects a through-hole at the center of a predetermined region where the layout density is lower than a predetermined value as a target through-hole from among the through-holes extracted by the extractor and a through-hole adder, which determines a given position in a predetermined region centered on the target through-hole as a placement position at which a through-hole is to be added for each target through-hole selected by the selector.
    Type: Grant
    Filed: June 5, 2009
    Date of Patent: August 6, 2013
    Assignee: Elpida Memory, Inc.
    Inventors: Hayato Ooishi, Kazuhiko Matsuki
  • Patent number: 8504952
    Abstract: A miniaturized electrostatic discharge (ESD) protection circuit designed for millimeter wave electrical elements, wherein the ESD protection circuit is fabricated on a multilayer substrate. The ESD protection circuit comprises a metal line being connected at one end to a ground and at other end to a connective strip, wherein a length of the metal line is a maximum length that achieves a resistance value defined for the ESD protection circuit and a width of the metal line is set to a maximum width allowed for the multilayer substrate, wherein the metal line introduces a inductance value into the ESD protection circuit; and a capacitor being connected in parallel to the metal line and having a capacitance value resonating the metal line at an operating frequency band, thereby the ESD protection circuit shunts ESD pulses to the ground and passes signals at the operating frequency band.
    Type: Grant
    Filed: December 28, 2010
    Date of Patent: August 6, 2013
    Assignee: Wilocity, Ltd.
    Inventor: Alon Yehezkely
  • Patent number: 8499264
    Abstract: A method of designing a logic circuit based on one of the functions of the form fn=x1 (x2 & (x3 (x4 & . . . xn . . . ))) and f?n=x1 & (x2 (x3 & (x4 . . . xn . . . ))), by (a) selecting n as the number of variables of the logic circuit, (b) testing n against a threshold, (c) for values of n less than the threshold, using a first algorithm to design the logic circuit, (d) for values of n greater than the threshold, using a second algorithm to design the logic circuit.
    Type: Grant
    Filed: February 29, 2012
    Date of Patent: July 30, 2013
    Assignee: LSI Corporation
    Inventor: Mikhail I. Grinchuk
  • Patent number: 8499262
    Abstract: An electric design automation (EDA) tool for generating a design of a system on a field programmable gate array. (FPGA) includes a graphical user interface to create a block based schematic. The EDA tool includes a library that includes a parameterizable filter block selectable by a designer to include in the block based schematic to represent a component in the design that filters data. The EDA tool includes a design adjustment unit to automatically modify previously programmed and selected components and wires in the block based schematic without input from the designer upon determining a change made to the parameterizable filter block by the designer.
    Type: Grant
    Filed: September 17, 2010
    Date of Patent: July 30, 2013
    Assignee: Altera Corporation
    Inventor: Steven Perry
  • Patent number: 8499263
    Abstract: Aspects of the invention relate to techniques for generating encrypted profiles for layout features. According to various implementations of the invention, a layout feature is partitioned into subdomains. The subdomains are associated with boundary nodes and internal nodes. Based on layout design and process profile data for the layout feature, a first electric parameter relationship is determined for the boundary nodes and the internal nodes. An encrypted profile for the layout feature is then generated by converting the first electric parameter relationship into a second electric parameter relationship involving the boundary nodes. The encrypted profile may be used for extracting parasitic parameters associated with the layout feature.
    Type: Grant
    Filed: March 29, 2012
    Date of Patent: July 30, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Weiping Shi, Wangqi Qiu
  • Patent number: 8495550
    Abstract: This invention comprises a layout method to effectively protect electronic circuits against soft errors (non-destructive errors) and circuit cells, which are protected against soft errors. The invention applies a layout method to sequential and combinational logic to generate specific circuit cells with netlists and layouts which are hardened against single event generated soft-errors. It also devices methods of how two or more such cells should be laid out and placed relative to each other, in order to have the best global soft-error protection.
    Type: Grant
    Filed: April 19, 2010
    Date of Patent: July 23, 2013
    Inventor: Klas Olof Lilja
  • Patent number: 8495545
    Abstract: An embodiment includes using direct memory access (DMA) to initialize a programmable logic device (PLD). An aspect of the invention includes manipulating a control line of the PLD to configure the PLD in a programming mode. PLD programming data is received at a PLD interface from a DMA control at a DMA speed. The PLD interface controls access of a processor and the DMA control to a programming port on the PLD. The PLD interface includes a data buffer and pacing logic. The PLD programming data is written to the data buffer and read from the data buffer. The PLD programming data transmitted to the programming port on the PLD at a PLD programming speed. The pacing logic of the PLD interface controls the data transmission at the PLD programming speed, and the DMA control is configured to transform the PLD programming data while the processor performs other processing tasks.
    Type: Grant
    Filed: July 12, 2012
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Thomas D. Needham, Andrew R. Ranck
  • Patent number: 8495554
    Abstract: A method for matching systems with power and thermal domains is provided in the illustrative embodiments. A subset of the set of systems is sorted according to size to form a sorted list of systems. The smallest remaining system in the sorted list of systems is selected. The smallest remaining system is allocated to a domain responsive to a determination that the domain can service the smallest remaining system. A system from a second subset is allocated to a plurality of domains such that the plurality of domains includes a smallest number of domains from the set of domains.
    Type: Grant
    Filed: April 30, 2012
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Charles Robert Lefurgy, Freeman Leigh Rawson, III
  • Patent number: 8495532
    Abstract: A method includes approximating a physical characteristic of a semiconductor substrate with a frequency-dependent circuit, and creating a technology file for the semiconductor substrate based on the frequency-dependent circuit. The physical characteristic of the semiconductor substrate identified by one of an electromagnetic simulation or a silicon measurement. The technology file is adapted for use by an electronic design automation tool to create a netlist for the semiconductor substrate and is stored in a non-transient computer readable storage medium.
    Type: Grant
    Filed: March 31, 2011
    Date of Patent: July 23, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ke-Ying Su, Ching-Shun Yang, Jui-Feng Kuan, Hsiao-Shu Chao, Yi-Kan Cheng
  • Patent number: 8484590
    Abstract: Software method to identify which transistor gates float, and why, in complex, multi-transistor, electronic circuit designs. Transistor gates suspected of floating are analyzed by expanding backwards to build a logical tree representation of the previous predecessor circuit portions which drive that suspect gate. The method checks if the previous level of predecessor circuit node states earlier in the circuit show up more than once with different values, thus indicating by logical conflict that a particular floating suspect gate does not float. It then repeats this back-trace analysis for the next previous level of predecessor circuit portions, further seeking logical conflicts within the expanding logic tree. This is continued until either no predecessor circuit portion that can cause the suspect gate to float is found, or until a portion that does cause the suspect gate to float is found, in which case the suspect gate is identified as a probable floating gate.
    Type: Grant
    Filed: January 8, 2012
    Date of Patent: July 9, 2013
    Inventor: Jesse Conrad Newcomb
  • Patent number: 8479126
    Abstract: Techniques are presented for improving parametric yield. As part of an automatic sizing process for a circuit, one set of techniques receives a target value for a performance goal and then optimizes, with respect to the number of standard deviations, the distance by which the mean value of a distribution of the performance goal differs from the target value. In a second set of techniques, as part of an automatic sizing process during a circuit design process, the operation of the circuit is simulated to determine the distribution of a performance goal for a first design point. It is then determined whether a second design point is sufficiently close to the first design point and, if so, the simulation for the first design point is used for evaluating the second design point in an optimization process.
    Type: Grant
    Filed: August 29, 2007
    Date of Patent: July 2, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Hongzhou Liu, Hui Zhang
  • Patent number: 8479134
    Abstract: A method of specifying system level constraints for connecting an interface of an electronic device between first and second fabrics includes specifying one or more first condition relating to a placement of the interface, specifying one or more second condition relating to a connection of a net in the interface between the first and second fabrics, generating one or more first equation expressing the first condition as a function of the location of the connectors, generating one or more second equation expressing the second condition as a function of the location of connectors, generating one or more third equation expressing an optimality criterion for the interface, and outputting the one or more first equation, the one or more second equation and the one or more third equation to a data file in a computer readable format.
    Type: Grant
    Filed: December 23, 2009
    Date of Patent: July 2, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Utpal Bhattacharya, Vikas Kohli, Tarun Beri, Rahul Verma
  • Patent number: 8473887
    Abstract: Implementations of the present disclosure involve an apparatus and/or method for identifying and classify nodes of an electrical circuit design to account for hold time violations occurring within the circuit. The nodes may be ordered based on a criticality of the nodes that may aid in identifying those nodes of the circuit where hold time violations may be corrected. In one embodiment, the criticality may relate to the number of potentially violating paths that utilize the identified nodes such that corrective measures applied at those nodes may correct several hold time violating paths. In addition, criticality may be scaled utilizing an available buffer library and other timing information.
    Type: Grant
    Filed: March 16, 2011
    Date of Patent: June 25, 2013
    Assignee: Oracle America, Inc.
    Inventor: Tong Xiao
  • Patent number: 8468477
    Abstract: A method for IC modification is disclosed. The method recognizes an original HDL file prescribing an original logic, an original netlist incorporating the original logic, and a new HDL file prescribing a new logic. The new logic comprises desired logic changes relative to the original logic. If a signal is different between the new HDL file and the original HDL file the method adds a user hint to both the original HDL file and the new HDL file. Using the original HDL file, the original netlist, the new HDL file, and the user hints, the method synthesizes a delta netlist for inserting into the original netlist, whereupon this insertion the original netlist will incorporate the new logic.
    Type: Grant
    Filed: April 28, 2011
    Date of Patent: June 18, 2013
    Assignee: International Business Machines Corporation
    Inventor: Haoxing Ren
  • Patent number: 8468005
    Abstract: Mechanisms are provided for controlling a fidelity of a simulation of a computer system. A model of the system is received that has a plurality of components. A representation of the plurality of individual components of the system is generated. A component is assigned to be a fidelity center having a highest possible associated fidelity value. Fidelity values are assigned to each other component in the plurality of individual components based on an affinity of the other component to the fidelity center. The system is simulated based on assigned fidelity values to the components in the plurality of individual components.
    Type: Grant
    Filed: August 12, 2010
    Date of Patent: June 18, 2013
    Assignee: International Business Machines Corporation
    Inventors: Thomas W. Aarts, Ched D. Hays, Michael C. Hollinger, Jason S. Ma, Jose L. Ortiz, Gundam Raghuswamyreddy
  • Publication number: 20130152031
    Abstract: A method of managing the configuration, design parameters, and functionality of an integrated circuit (IC) design using a hardware description language (HDL). Instructions can be added, subtracted, or generated by the designer interactively during the design process, and customized HDL descriptions of the IC design are generated through the use of scripts based on the user-edited instruction set and inputs. The customized HDL description can then be used as the basis for generating “makefiles” for purposes of simulation and/or logic level synthesis. The method further affords the ability to generate an HDL model of a complete device, such as a microprocessor or DSP. A computer program implementing the aforementioned method and a hardware system for running the computer program are also disclosed.
    Type: Application
    Filed: January 30, 2013
    Publication date: June 13, 2013
    Applicant: SYNOPSYS, INC.
    Inventor: Synopsys, Inc
  • Patent number: 8464188
    Abstract: Systems and methods are provided for a scheme and mechanism for performing static analysis of a sample time aware state diagram model to compute and propagate multiple samples rates associated with the state diagram model. A graphical intermediate representation of the state diagram model, such as a directed graph or control flow graph, is used to determine how the multiple sample rates are propagated via elements of the state diagram model. The graph provides a static representation of the control of flow, including alternative and/or conditional flow paths, of the state diagram model. The present invention determines the propagation of sample rates via analysis and traversal of the intermediate representation. By using the techniques of the present invention, a state diagram model may provide multiple sample rate outputs, such as by function calls and output signals to a graphical model, such as a model representing a dynamic system.
    Type: Grant
    Filed: August 23, 2005
    Date of Patent: June 11, 2013
    Assignee: The MathWorks, Inc.
    Inventors: Vijay Raghavan, Ebrahim Mehran Mestchian
  • Patent number: 8458644
    Abstract: An RF circuit on a circuit simulator to be used in a microwave or millimeter wave range or a high-frequency range includes a function for being inserted by a first port and a second port thereof in a circuit to be observed, at an arbitrary cross-sectional point of the circuit, and evaluating a reflection coefficient (or a characteristic impedance) in the cross-section. The insertion loss between the first port and the second port is zero or approximately zero and is ignorable also for any finite system impedance other than zero.
    Type: Grant
    Filed: July 2, 2012
    Date of Patent: June 4, 2013
    Assignee: NEC Corporation
    Inventor: Takashi Inoue
  • Patent number: 8458629
    Abstract: An abstract decision module primitive for placement within a logical representation (i.e., a netlist) of a circuit design is described. The decision module primitive receives as inputs alternative solutions for a given function or segment of a netlist. The alternative solutions include functionally equivalent, but structurally different implementations of the function or segment of the netlist. The decision module primitive alternatively selects between connecting one of the inputs to the netlist to provide a complete functional definition for the netlist based on constraint information. The selected input of the decision module may be updated as additional constraint information is determined throughout the various stages of the design process. In addition, alternative solutions for a given function or segment of the netlist may be added to and/or removed from the inputs of a decision module as additional constraint information is identified.
    Type: Grant
    Filed: November 24, 2010
    Date of Patent: June 4, 2013
    Assignee: Tabula Inc.
    Inventors: Andrew Caldwell, Steven Teig
  • Patent number: 8453080
    Abstract: One or more hardware description language (HDL) files describe a plurality of hierarchically arranged design entities defining a digital design to be simulated and a plurality of configuration entities not belonging to the digital design that logically control settings of a plurality of configuration latches in the digital design. The HDL file(s) are compiled to obtain a simulation executable model of the digital design and an associated configuration database. The compiling includes parsing a configuration statement that specifies an association between an instance of a configuration entity and a specified configuration latch, determining whether or not the specified configuration latch is described in the HDL file(s), and if not, creating an indication in the configuration database that the instance of the configuration latch had a specified association to a configuration latch to which it failed to bind.
    Type: Grant
    Filed: December 16, 2008
    Date of Patent: May 28, 2013
    Assignee: International Business Machines Corporation
    Inventors: Wolfgang Roesner, Robert J. Shadowen, Derek E. Williams
  • Patent number: 8453078
    Abstract: Methods are provided for building integrated circuit transformer devices having compact and optimized architectures for use in MMW (millimeter-wave) applications. The integrated circuit transformer devices have universal and scalable architectures that can be used as templates or building blocks for constructing various types of on-chip devices for millimeter-wave applications.
    Type: Grant
    Filed: November 9, 2011
    Date of Patent: May 28, 2013
    Assignee: International Business Machines Corporation
    Inventors: David Goren, Ullrich R. Pfeiffer, Benny Sheinman, Shlomo Shlafman
  • Patent number: 8448109
    Abstract: Systems and techniques for evaluating assertions during circuit verification are described. During operation, m semantically equivalent assertions can be identified, wherein each of the m semantically equivalent assertions is evaluated using n logical expressions. Next, a set of vectors based on the m semantically equivalent assertions can be determined, wherein each vector element corresponds to a logical expression that is used for evaluating one of the m semantically equivalent assertions. The m semantically equivalent assertions can then be evaluated, in parallel, using the set of vectors.
    Type: Grant
    Filed: January 31, 2012
    Date of Patent: May 21, 2013
    Assignee: Synopsys, Inc.
    Inventors: Eduard Cerny, Surrendra A. Dudani, Samik Sengupta
  • Patent number: 8448116
    Abstract: For increasing user control and insight into preparing a mixed-signal semiconductor design specification for simulation, there are provided methods responsive to commands that provide control over resolution of disciplines and partitioning of the design into analog and digital portions. In some aspects, the methods provide block-based assignment of disciplines, as well as design partitioning. In other aspects, the methods provide for resolving a discipline to apply in a block from among multiple possible disciplines. In some aspects, error flagging may be available for detecting disciplines different from what provided for assignment in a block. Assignments may be indicated based on instance, cell, terminal, or library names and may be specified with wild cards. In still other aspects, the methods may be embodied by instructions on computer readable media, and in systems comprising general and special purpose computer hardware that may communicate with various storage facilities and over various networks.
    Type: Grant
    Filed: August 31, 2010
    Date of Patent: May 21, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Chandrashekar L. Chetput, Abhijeet Kolpekwar, Srinivasan Iyengar
  • Publication number: 20130120072
    Abstract: A method of calibrating a phase-locked loop (PLL) while maintaining lock includes detecting that a control signal to an oscillator in a PLL has exceeded a threshold value while the PLL is locked to an input signal. In response, an operating current of the oscillator is adjusted to return the control signal below the threshold value while maintaining lock of the PLL to the input signal. Adjusting the operating current includes slowly varying an output current of a calibration circuit coupled to the PLL, enabling the PLL to maintain lock to the input signal during adjustment of the operating current.
    Type: Application
    Filed: November 15, 2011
    Publication date: May 16, 2013
    Applicant: QUALCOMM INCORPORATED
    Inventors: Glenn A. Murphy, Xiaohua Kong, Nam V. Dang
  • Publication number: 20130119508
    Abstract: Methods for fabricating bipolar junction transistors, bipolar junction transistors, and design structures for a bipolar junction transistor. The bipolar junction transistor may include a plurality of emitters that are arranged in distinct emitter fingers. A silicide layer is formed that covers an extrinsic base layer of the bipolar junction transistor and that fills the gaps between adjacent emitters. Non-conductive spacers on the emitter sidewalls electrically insulate the emitters from the silicide layer. The emitters extend through the extrinsic base layer and the silicide layer to contact the intrinsic base layer. The emitters may be formed using sacrificial emitter pedestals in a replacement-type process.
    Type: Application
    Filed: November 11, 2011
    Publication date: May 16, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Renata Camillo-Castillo, David L. Harame, Qizhi Liu, Ramana M. Malladi, John J. Pekarik
  • Patent number: 8443329
    Abstract: A system and method that does trustworthy multi-objective structural synthesis of analog circuits, and extracts expert analog circuit knowledge from the resulting tradeoffs. The system defines a space of thousands of possible topologies via a hierarchically organized combination of designer-trusted analog building blocks, the resulting topologies are guaranteed trustworthy. The system can perform a search based on a multi-objective evolutionary algorithm that uses an age-layered population structure to balance exploration vs. exploitation, with operators that make the search space a hybrid between vector-based and tree-based representations. A scheme employing average ranking on Pareto fronts is used to handle a high number of objectives. Good initial topology sizings are quickly generated via multi-gate constraint satisfaction.
    Type: Grant
    Filed: May 15, 2009
    Date of Patent: May 14, 2013
    Assignee: Solido Design Automation Inc.
    Inventor: Trent Lorne McConaghy
  • Patent number: 8438512
    Abstract: Disclosed is an improved method and system for implementing parallelism for execution of electronic design automation (EDA) tools, such as layout processing tools. Examples of EDA layout processing tools are placement and routing tools. Efficient locking mechanism are described for facilitating parallel processing and to minimize blocking.
    Type: Grant
    Filed: August 30, 2011
    Date of Patent: May 7, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: David Cross, Eric Nequist
  • Patent number: 8438513
    Abstract: A new method is presented for eliminating existential quantifiers from a Boolean CNF (Conjunctive Normal Form) formula. This new method designs circuits by solving a quantifier elimination problem (QEP) by using derivation of dependency sequents (DDS). This new method begins with a first quantified conjunctive normal form formula, determines dependency sequents for the left branch and the right branch of a branching variable, resolves dependency sequents derived in both branches, and designs a circuit using a current formula having less variables than the first quantified conjunctive normal formula. Utility of this method includes verification, in particular, determining reachability of a state of a design.
    Type: Grant
    Filed: December 30, 2011
    Date of Patent: May 7, 2013
    Assignee: Northeastern University
    Inventors: Evgueni I. Goldberg, Panagiotis Manolios
  • Patent number: 8438523
    Abstract: In layout design step of the semiconductor integrated circuit manufacturing method, when it is found that the wiring length between an external terminal and an IO block (external terminal I/F circuit) corresponding to the external terminal increases after a floorplan of a circuit including a functional block and the IO block is determined, placement of the IO block is determined such that the IO block is placed close to the external terminal to alleviate constraints on the wiring between the IO block and the external terminal, and timing adjustment circuits whose number is determined according to the wiring length of a bus (or a shared bus) connecting a data transfer circuit and the IO block is inserted into the bus.
    Type: Grant
    Filed: May 27, 2011
    Date of Patent: May 7, 2013
    Assignee: Panasonic Corporation
    Inventors: Daisuke Iwahashi, Masayoshi Tojima, Tokuzo Kiyohara
  • Publication number: 20130111425
    Abstract: Power balancing techniques are provided for improving power efficiency of pipelined processors. A design-level implementation can be incorporated during synthesis of pipeline clocks in which a register transfer level (RTL) code, operating frequency, and available voltage domains are used to perform cycle time stealing with, and optimize for, power efficiency. A test-level implementation can be incorporated during testing of a chip in which delay and power measurements are used to perform calculations based on cycle time stealing and optimization of power efficiency. The calculations are then used to perform voltage scaling and/or adjust tunable delay buffers. Process variations may also be corrected during test time. A run-time approach can be incorporated for dynamic power balancing in which the operating system keeps track of one or more performance indicators such as a count of floating point instructions and uses a look-up table to provide the appropriate delays.
    Type: Application
    Filed: October 29, 2012
    Publication date: May 2, 2013
    Applicant: The Board of Trustees of the University of Illinois
    Inventor: The Board of Trustees of the University of Illinoi
  • Patent number: 8434036
    Abstract: An arithmetic-program conversion apparatus includes: a program storage section storing an arithmetic program describing a circuit by a logical expression including a plurality of input and output variables, and operators; if the expression has three input variables or more, an intermediate-variable generation section generating an intermediate variable for converting the expression into a plurality of binomials including input and output variables; if the intermediate variable is generated, an expression conversion section converting the logical expression into a plurality of binomials including a binomial for obtaining the intermediate variable and a binomial obtaining the output variable from the intermediate variable; if a plurality of binomials are generated, an expression update section updating the stored original expression; a bit-width determination section determining bit widths of the output, input, and intermediate variables of the expression; and a bit-width storage section storing the bit widths
    Type: Grant
    Filed: January 19, 2010
    Date of Patent: April 30, 2013
    Assignee: Sony Corporation
    Inventor: Shota Hasegawa
  • Patent number: 8434032
    Abstract: The present application discloses a method of generating an intellectual property (IP) block design kit including an IP block circuit design and a system-level characteristics table for manufacturing an integrated circuit. According at least one embodiment, the IP block circuit design is generated. The IP block circuit design is simulated based on predetermined configuration sets, and each configuration set has manufacturing options and/or operating conditions. A plurality of system-level models for the predetermined configuration sets are generated based on the simulation of the IP block circuit design. The system-level characteristics table is generated by arranging the predetermined configuration sets and the system-level models in compliance with a system-level characteristics table template of a system-level characteristics modeling device. Then the IP block circuit design and the system-level characteristics table are stored as the IP block design kit.
    Type: Grant
    Filed: November 19, 2010
    Date of Patent: April 30, 2013
    Assignee: Taiwan Semiconductor Maufacturing Company, Ltd.
    Inventors: Lee-Chung Lu, Yun-Han Lee, Wei-Li Chen, Tan-Li Chou, Kheng-Guan Tan, Shi-Hung Wang
  • Patent number: 8434051
    Abstract: A method of automating circuit design is provided and includes storing one or more circuit design schematics in a memory, providing, by way of an interface, a plurality of search parameters for searching for nets of the schematics in the memory, searching for nets of the schematics in the memory in accordance with search parameters input into the interface and presenting, by way of the interface, information associated with a net matching the received search parameters.
    Type: Grant
    Filed: September 11, 2009
    Date of Patent: April 30, 2013
    Assignee: International Business Machines Corporation
    Inventors: Kutalmis Koyuncu, David Webber, Michael H. Wood
  • Patent number: 8429583
    Abstract: Methods and apparatuses to hierarchically retime a circuit. In at least one embodiment of the present invention, a module of a circuit is designed with a plurality of different latencies to have a plurality of different minimum clock periods (e.g., through retiming at the module level). In one example, the minimum clock periods are determined from detailed timing analyses after the placement and routing for the module; and, in retiming the circuit that contains the module, a data flow graph representation of the module is constructed based on the target clock period of the circuit and the correlation between the latencies and the minimum clock periods. In at least one embodiment of the present invention, hierarchical retiming is performed in which portions of the circuit is retimed to generate results (e.g., for different latencies), which are selectively used for the retiming of the entire circuit based on the target clock period.
    Type: Grant
    Filed: April 29, 2009
    Date of Patent: April 23, 2013
    Assignee: Synopsys, Inc.
    Inventor: Levent Oktem
  • Patent number: 8423949
    Abstract: The present disclosure is directed to a technique that can be applied to a situation in which a single product is designed by multiple designers using a CAD. During a modification operation of feature data, portions that are referenced to are accumulated in a referenced portion accumulation unit. A portion that has been modified is obtained from new and old feature data, and a determination is made as to whether a reference to the modified portion has been made, based on the information accumulated in the referenced portion accumulation unit. Information about the modified portion that is determined as having been referenced to is displayed on a display.
    Type: Grant
    Filed: December 23, 2010
    Date of Patent: April 16, 2013
    Assignee: Fujitsu Limited
    Inventor: Yukihiko Furumoto
  • Patent number: 8423929
    Abstract: Systems and methods are disclosed to automatically generate a processor architecture for a custom integrated circuit (IC) described by a computer readable code. The IC has one or more timing and hardware constraints. The system extracts parameters defining the processor architecture from a static profile and a dynamic profile of the computer readable code; iteratively optimizes the processor architecture by changing one or more parameters until all timing and hardware constraints expressed as a cost function are met; and synthesizes the generated processor architecture into a computer readable description of the custom integrated circuit for semiconductor fabrication.
    Type: Grant
    Filed: October 18, 2010
    Date of Patent: April 16, 2013
    Assignee: Algotochip Corp.
    Inventors: Anand Pandurangan, Pius Ng, Siva Selvaraj, Sanjay Banerjee, Ananth Durbha, Suresh Kadiyala, Satish Padmanabhan
  • Patent number: 8418094
    Abstract: Disclosed are a method, a system, and a computer program product for implementing compact manufacturing model during various stages of electronic circuit designs. In some embodiments, the method loads the full design database information on the master; distributes the tasks to be processed in parallel; loads a full design on a master; spawns a plurality of slave sessions; sends to at least one slave a nutshell representation of the electronic circuit design; identifies a task to perform in parallel and sends the task to be performed in parallel; and receives execution results or processing results from some of the plurality of slaves and updates one or more databases to incorporate the execution or processing results. In some embodiments, the method allows speeding up the applications without major rewrite without a need for design partition, and without memory penalty.
    Type: Grant
    Filed: December 13, 2011
    Date of Patent: April 9, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Arnaud Pedenon, Philippe Lenoble, Claire Nauts
  • Patent number: 8418098
    Abstract: A verification system for verifying an integrated circuit design is provided. The verification system includes a functional block finding module configured to identify potential sensitive circuits in the integrated circuit design; and a search module. The search module is configured to find sensitive circuits from the potential sensitive circuits; and verify the sensitive circuits.
    Type: Grant
    Filed: March 24, 2008
    Date of Patent: April 9, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chi-Heng Huang, Gary Lin, Chu-Fu Chen, Yi-Kan Cheng, Fu-Lung Hsueh
  • Patent number: 8415973
    Abstract: Some embodiments of the invention provide an integrated circuit (“IC”) that includes numerous configurable nodes arranged in an array having several rows and columns. In some embodiments, the configurable nodes include a first group of configurable aligned along a particular direction and a second group of configurable nodes aligned along a different direction. The IC also includes a set of direct offset turn connections arranged across the node array in a repetitive nested architecture. Each direct offset turn connection connects a node from the first group of configurable nodes to a node from the second group of configurable nodes. Each direct offset turn connection includes at least two wire segments that are arranged in at least two different directions and intersect to define a turn. No direct offset turn connection overlaps with another direct offset turn connection.
    Type: Grant
    Filed: July 22, 2011
    Date of Patent: April 9, 2013
    Assignee: Tabula, Inc.
    Inventors: Andre Rohe, Steven Teig
  • Patent number: 8418091
    Abstract: A method and apparatus for camouflaging an application specific integrated circuit (ASIC), wherein the ASIC comprises a plurality of interconnected functional logic is disclosed. The method adds functionally inert elements to the logical description or provides alternative definitions of standard logical cells to make it difficult for reverse engineering programs to be used to discover the circuit's function.
    Type: Grant
    Filed: October 13, 2009
    Date of Patent: April 9, 2013
    Assignee: SypherMedia International, Inc.
    Inventors: Lap Wai Chow, James P. Baukus, Bryan J. Wang, Ronald P. Cocchi
  • Patent number: 8418092
    Abstract: A method of producing an integrated circuit (700) using a system-on-chip (SoC) architecture includes providing a first circuit (710) in a first island of synchronicity (IoS); and providing a source-synchronous data link (755/757, 765/767) between the first circuit (710) in the first IoS and a hard core (720) in a second IoS for communicating n-bit data elements between the first circuit (710) and the hard core (720). The source-synchronous data link (755/757, 765/767) includes a set of n data lines (755, 765) for transporting the n-bit data elements between the first circuit (710) and the hard core (720), and a source-synchronous clock line (757, 767) for transporting a source clock between the first circuit (710) and the hard core (720) for clocking the n-bit data elements. The hard core (720) does not include a bus interface adaptor for interfacing with the source-synchronous data link (755/757, 765/767).
    Type: Grant
    Filed: November 27, 2008
    Date of Patent: April 9, 2013
    Assignee: NXP B.V.
    Inventors: Carlos Basto, Jan-Willem Van De Waerdt
  • Patent number: 8413088
    Abstract: A method and apparatus for producing a verification of digital circuits are provided. In an exemplary embodiment on the invention, a plurality of verification scopes of an integrated circuit design as defined as part of a verification plan. A plurality of verification runs are executed within two or more verification scopes defined by the verification plan. At least two verification runs are selected to merge verification results together. Like named scenarios are merged together for each verification scope to generate merged verification results that are then stored into a merge database. A verification report is generated for the integrated circuit design from the merged verification results. A merge point may be specified so like named subtrees and subgroups may be merged across different verification scopes of selected verification runs. The merge point may combine check and coverage results obtained during simulation with check and coverage results obtained during formal verification.
    Type: Grant
    Filed: April 17, 2009
    Date of Patent: April 2, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Frank Armbruster, Sandeep Pagey, F. Erich Marschner, Dan Leibovich, Alok Jain, Axel Scherer, Yaron Peri-Glass
  • Patent number: 8413103
    Abstract: Embodiments of a computer system, a method, a graphical user interface and a computer-program product (i.e., software) for use with the computer system are described. A chip designer may use these devices and techniques to configure and monitor the execution of tasks in a user-configurable electronic-design-automation (EDA) flow associated with a circuit or chip design. In particular, using an intuitive and interactive graphical user interface in EDA software, the chip designer can configure and initiate execution of the EDA flow. Then, during execution of EDA tasks in the EDA flow, an execution monitor in the graphical user interface may provide a graphical representation of real-time execution status information for the EDA tasks. Moreover, using the EDA software, the chip designer can debug the circuit or chip design if any errors or problems occur.
    Type: Grant
    Filed: April 15, 2009
    Date of Patent: April 2, 2013
    Assignee: Synopsys, Inc.
    Inventors: Andrew Stanley Potemski, John Scott Tyson, Steven Robert Eustes
  • Patent number: 8407652
    Abstract: A task-based multi-process design synthesis methodology relies on a plurality of child processes to assist a parent process in performing optimizations on an integrated circuit design. Objects from an integrated circuit design are grouped into subsets and assigned to child processes, with each child process performing a transform on each of the objects in the subset assigned to that child process and determining which of the objects in the subset are candidate objects for which performance of the transform has been successful. The child processes then notify the parent process of those objects that qualify as candidate objects, so that the parent process only has to perform the transform on the candidate objects, thereby relieving the parent process from the overhead associated with performing the transform on non-candidate objects for which the transform has been determined by the child processes as not being successful.
    Type: Grant
    Filed: December 20, 2010
    Date of Patent: March 26, 2013
    Assignee: International Business Machines Corporation
    Inventors: Anthony D. Drumm, Jagannathan Narasimhan, Lakshmi N. Reddy, Louise H. Trevillyan, Brian C. Wilson
  • Patent number: 8407633
    Abstract: A method configures a plurality of circuit elements for execution of an application in a first configuration. The method monitors the execution of the application on the plurality of circuit elements to produce monitoring information, using a computerized device, and stores the monitoring information in a storage structure. The method selectively communicates the monitoring information to an external element separate from the computerized device. The external element transforms the first configuration into a second configuration based on the monitoring information. The computerized device receives the second configuration from the external element and reconfigures the plurality of elements into the second configuration.
    Type: Grant
    Filed: October 26, 2009
    Date of Patent: March 26, 2013
    Assignee: International Business Machines Corporation
    Inventors: Adam J. Courchesne, Jonathan P. Ebbers, Kenneth J. Goodnow, Suzanne Granato, Eze Kamanu, Kyle E. Schneider, Peter A. Twombly