Insulating Coating Patents (Class 257/632)
  • Publication number: 20140319659
    Abstract: A resist underlayer composition, a method of forming patterns, and semiconductor integrated circuit device, the composition including a solvent; and a compound including a moiety represented by the following Chemical Formula 1:
    Type: Application
    Filed: November 25, 2013
    Publication date: October 30, 2014
    Inventors: Hyo-Young KWON, Min-Gyum KIM, Jun-Ho LEE, Hwan-Sung CHEON
  • Publication number: 20140312471
    Abstract: A semiconductor device has a plurality of closely spaced fins each coated at its top and sidewalls with a SiGe layer used for improving charge carrier mobility in a channel portion of the device. The sidewalls of the closely adjacent Fins are selectively thinned so as to prevent an undesired bridging of SiGe material between immediately adjacent ones of the Fins. A method of manufacturing the same comprises: providing a substrate having a plurality of tri-gate transistors, at least two fins of the tri-gate transistors being closely adjacent to each other, where respective top and sidewall surfaces of the fins are coated with a SiGe layer; performing a tilted ion implantation on the SiGe coated fins so as to partially convert the SiGe material into a predetermined etch resistant material (e.g., and oxide of the SiGe); and etching away the non-converted sidewall parts of the SiGe coating layers so as to provide greater spacing between the immediately adjacent sidewalls of the SiGe coated fins.
    Type: Application
    Filed: February 13, 2014
    Publication date: October 23, 2014
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: James HONG
  • Patent number: 8866271
    Abstract: A semiconductor device manufacturing method includes loading a substrate, on which a high-k film is formed, into a processing chamber, performing a reforming process by heating the high-k film through irradiation of a microwave on the substrate, and unloading the substrate from the processing chamber.
    Type: Grant
    Filed: September 30, 2011
    Date of Patent: October 21, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Katsuhiko Yamamoto, Yuji Takebayashi, Tatsuyuki Saito, Masahisa Okuno
  • Publication number: 20140306324
    Abstract: A semiconductor device and methods for manufacturing the same are disclosed. The semiconductor device includes a semiconductor stack structure having a first surface and a second surface. A polymer substrate having a high thermal conductivity and a high electrical resistivity is disposed onto the first surface of the semiconductor stack structure. One method includes providing the semiconductor stack structure with the first surface in direct contact with a wafer handle. A next step involves removing the wafer handle to expose the first surface of the semiconductor stack structure. A following step includes disposing a polymer substrate having high thermal conductivity and high electrical resistivity directly onto the first surface of the semiconductor stack structure.
    Type: Application
    Filed: June 26, 2014
    Publication date: October 16, 2014
    Inventors: Julio Costa, Michael Carroll, Daniel Charles Kerr, Don Willis, Elizabeth Glass
  • Patent number: 8853547
    Abstract: A flexible printed circuit board, in particular for the spatial connection of electronic components, includes a carrier foil (1), several bonding surfaces (10) arranged on a solder side (4) of the carrier foil (1), and several soldering surfaces (2) arranged on a bonding side (12) of the carrier foil (1) opposite the solder side. The soldering surfaces (2) are connected to the bonding surfaces (10) via electrical strip conductors, and a stiffening plate (3) is inseparably connected to the carrier foil (1) on the solder side thereof.
    Type: Grant
    Filed: October 9, 2009
    Date of Patent: October 7, 2014
    Assignees: Conti Temic microelectronic GmbH, Carl Freudenberg KG
    Inventors: Andreas Voegerl, Tilo Liebl, Gerhard Bauer, Marion Gebhardt, Alexander Wenk, Matthias Wieczorek, Juergen Henniger, Karl-Heinz Baumann
  • Publication number: 20140291680
    Abstract: A silicon member and a method of producing the silicon member are provided. Cracking is suppressed in the silicon member even if the silicon member is used in a condition where it is heated. The silicon member 10 includes a coating layer 11 that coats a surface of the silicon member 10, wherein the coating layer 11 is composed of a product of silicon formed by reaction of the silicon on the surface, and a thickness of the coating layer is 15 nm or more and 600 nm or less. It is preferable that the coating layer is a silicon oxide film or a silicon nitride film.
    Type: Application
    Filed: March 27, 2014
    Publication date: October 2, 2014
    Applicant: MITSUBISHI MATERIALS CORPORATION
    Inventor: Yoshinobu Nakada
  • Patent number: 8841158
    Abstract: A solid-state image pick-up device is provided which includes a semiconductor substrate main body which has an element forming layer and a gettering layer provided on an upper layer thereof; photoelectric conversion elements, each of which includes a first conductive type region, provided in the element forming layer; and a dielectric film which is provided on an upper layer of the gettering layer and which induces a second conductive type region in a surface of the gettering layer.
    Type: Grant
    Filed: October 2, 2013
    Date of Patent: September 23, 2014
    Assignee: Sony Corporation
    Inventor: Shin Iwabuchi
  • Publication number: 20140264778
    Abstract: A precursor composition for forming a silicon dioxide film on a substrate, the precursor composition including at least one precursor compound represented by the following chemical formulas (1), (2), and (3): HxSiAy(NR1R2)4-x-y??(1) HxSi(NAR3)4-x??(2) HxSi(R4)z(R5)4-x-z??(3) wherein, independently in the chemical formulas (1), (2), and (3), H is hydrogen, x is 0 to 3, Si is silicon, A is a halogen, y is 1 to 4, N is nitrogen, and R1, R2, R3, and R5 are each independently selected from the group of H, aryl, perhaloaryl, C1-8 alkyl, and C1-8 perhaloalkyl, and R4 is aryl in which at least one hydrogen is replaced with a halogen or C1-8 alkyl in which at least one hydrogen is replaced with a halogen
    Type: Application
    Filed: February 14, 2014
    Publication date: September 18, 2014
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Han-Jin LIM, Bong-Hyun KIM, Seok-Woo NAM, Dong-Woon SHIN, In-Sang JEON, Soo-Jin HONG
  • Publication number: 20140264779
    Abstract: Various techniques, methods, devices and apparatus are provided where an isolation layer is provided at a peripheral region of the substrate, and one or more metal layers are deposited onto the substrate.
    Type: Application
    Filed: February 20, 2014
    Publication date: September 18, 2014
    Applicant: Infineon Technologies Austria AG
    Inventors: Kae-Horng Wang, Francisco Javier Santos Rodriguez, Michael Knabl, Guenther Koffler
  • Publication number: 20140264780
    Abstract: Embodiments of the present invention provide a film stack and method for depositing an adhesive layer for a low dielectric constant bulk layer without the need for an initiation layer. A film stack for use in a semiconductor device comprises of a dual layer low-K dielectric deposited directly on an underlying layer. The dual low-K dielectric consists of an adhesive layer deposited without a carbon free initiation layer.
    Type: Application
    Filed: March 14, 2014
    Publication date: September 18, 2014
    Applicant: Applied Materials, Inc.
    Inventors: Kang Sub YIM, Pendar ARDALAN, Sure NGO, Alexandros T. DEMOS
  • Patent number: 8836087
    Abstract: Disposable gate structures are formed on a semiconductor substrate. A planarization dielectric layer is deposited over the disposable gate structures and planarized to provide a top surface that is coplanar with top surface of the disposable gate structures. The planarization dielectric layer at this point includes gap-fill keyholes between narrowly spaced disposable gate structures. A printable dielectric layer is deposited over the planarization dielectric layer to fill the gap-fill keyholes. Areas of the printable dielectric layer over the gap-fill keyholes are illuminated with radiation that cross-links cross-linkable bonds in the material of the printable dielectric layer. Non-crosslinked portions of the printable dielectric layer are subsequently removed selective to crosslinked portions of the printable dielectric layer, which fills at least the upper portion of each gate-fill keyhole. The disposable gate structures are removed to form gate cavities.
    Type: Grant
    Filed: January 17, 2014
    Date of Patent: September 16, 2014
    Assignee: International Business Machines Corporation
    Inventors: Paul Chang, Josephine B. Chang, Michael A. Guillorn, Jeffrey W. Sleight
  • Publication number: 20140246758
    Abstract: A method of forming a nitrogen-containing oxide film is disclosed. The method comprises (a) exposing a substrate to a first gas pulse having one of an oxygen-containing gas and a metal-containing gas; (b) exposing the substrate to a second gas pulse having the other of the oxygen-containing gas and the metal-containing gas to form an oxide film over the substrate; and (c) exposing the oxide film to a third gas pulse having a nitrogen-containing plasma to form a nitrogen-containing oxide film, wherein the nitrogen-containing oxide film has a nitrogen concentration between about 0.1 and about 3 atomic percent (at %).
    Type: Application
    Filed: March 1, 2013
    Publication date: September 4, 2014
    Inventor: Taiwan Semiconductor Manufacturing Company Ltd.
  • Publication number: 20140246759
    Abstract: Methods for adhering materials and methods for enhancing adhesion between materials are disclosed. In some embodiments, a polymer brush material is bonded to a base material, and a developable polymer resist material is applied over the grafted polymer brush material. The resist material is at least partially miscible in the grafted polymer brush material. As such, the resist material at least partially dissolves within the grafted polymer brush material to form an intertwined material of grafted polymer brush macromolecules and resist polymer macromolecules. Adhesion between the developable polymer resist and the base material may be thereby enhanced. Also disclosed are related semiconductor device structures.
    Type: Application
    Filed: May 13, 2014
    Publication date: September 4, 2014
    Applicant: Micron Technology, Inc.
    Inventor: Dan B. Millward
  • Patent number: 8821961
    Abstract: The present invention relates to a magnesium oxide-based (MgO) inorganic coating intended to electrically insulate semiconductive substrates such as silicon carbide (SiC), and to a method for producing such an insulating coating. The method of the invention comprises the steps of preparing a treatment solution of at least one hydrolysable organomagnesium compound and/or of at least one hydrolysable magnesium salt, capable of forming a homogeneous polymer layer of magnesium oxyhydroxide by hydrolysis/condensation reaction with water; depositing the treatment solution of the hydrolysable organomagnesium compound or of the hydrolysable magnesium salt, onto a surface to form a magnesium oxide-based layer; and densifying the layer formed at a temperature of less than or equal to 1000° C.
    Type: Grant
    Filed: October 12, 2005
    Date of Patent: September 2, 2014
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Céline Bondoux, Philippe Prene, Philippe Belleville, Robert Jerisian
  • Publication number: 20140239461
    Abstract: A Si or Ge semi-conductor substrate includes an oxygen monolayer on a surface thereof. The oxygen monolayer can be fractional or complete. A Si4+ or Ge4+ oxidation state of the surface of the Si or Ge substrate, respectively, resulting from the presence of the oxygen monolayer represents less than 50%, preferably less than 40% and more preferably less than 30% of the sum of Si1+, Si2+, Si3+ and Si4+ oxidation states or the sum of Ge1+, Ge2+, Ge3+ and Ge4+ oxidation states, respectively, as measured by XPS.
    Type: Application
    Filed: February 20, 2014
    Publication date: August 28, 2014
    Applicant: IMEC
    Inventors: Annelies Delabie, Matty Caymax
  • Publication number: 20140231968
    Abstract: In one aspect, a method is disclosed that includes providing a substrate having a topography that comprises a relief and providing an anti-reflective film conformally over the substrate using a molecular layer deposition step. The anti-reflective film may be formed of a compound selected from the group consisting of: (i) an organic compound chemically bound to an inorganic compound, where one of the organic compound and the inorganic compound is bound to the substrate and where the organic compound absorbs light at at least one wavelength selected in the range 150-500 nm, or (ii) a monodisperse organic compound absorbing light at at least one wavelength selected in the range 150-500 nm. The method further includes providing a photoresist layer on the anti-reflective film.
    Type: Application
    Filed: February 19, 2014
    Publication date: August 21, 2014
    Applicant: IMEC
    Inventors: Roel Gronheid, Christoph Adelmann, Annelies Delabie, Gustaf Winroth
  • Publication number: 20140225232
    Abstract: Atomic layer deposition (ALD) techniques typically involve briefly exposing the surface of a substrate to a precursor within an atomic layer deposition chamber, and purging the chamber with a purge gas, such as nitrogen, before exposing the substrate to a second precursor. A series of such cycles results in the deposition of microscopically thin film layers on the substrate surface that are further processed to generate a semiconductor component. In order to reduce unintended oxygen deposition, the chamber is typically evacuated to a vacuum level of 10e?06 torr-liters/second, which is suitable for the related techniques of chemical vapor deposition. However, atomic layer deposition is demonstrably more sensitive to oxygen contamination, due to the exposure of each layer to residual oxygen within the chamber. Tighter process control is achievable by performing atomic layer deposition at a higher vacuum level, not exceeding approximately 10e?06 torr-liters/second, in order to reduce oxygen contamination.
    Type: Application
    Filed: February 8, 2013
    Publication date: August 14, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Su-Horng Lin, Kuang-Kuo Koai
  • Patent number: 8803295
    Abstract: A manufacturing method of a circuit structure is provided. A metal layer having an upper surface is provided. A surface passivation layer is formed on the metal layer. The surface passivation layer exposes a portion of the upper surface of the metal layer, and a material of the metal layer is different from a material of the surface passivation layer. A covering layer is formed on the surface passivation layer, and the covering layer covers the surface passivation layer.
    Type: Grant
    Filed: February 12, 2012
    Date of Patent: August 12, 2014
    Assignee: Subtron Technology Co., Ltd.
    Inventor: Ching-Sheng Chen
  • Patent number: 8803165
    Abstract: A nitride semiconductor light emitting device includes an n-type GaN substrate (101) that is a nitride semiconductor substrate, a nitride semiconductor layer including a p-type nitride semiconductor layer formed on the n-type GaN substrate (101). The p-type nitride semiconductor layer includes a p-type AlGaInN contact layer (108), a p-type AlGaInN cladding layer (107) under the p-type AlGaInN contact layer (108), and a p-type AlGaInN layer (106). A protection film (113) made of a silicon nitride film is formed above a current injection region formed in the p-type nitride semiconductor layer.
    Type: Grant
    Filed: July 2, 2008
    Date of Patent: August 12, 2014
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Takeshi Kamikawa
  • Patent number: 8803296
    Abstract: A device has a microelectromechanical system (MEMS) component with at least one surface and a coating disposed on at least a portion of the surface. The coating has a compound of the formula M(CnF2n+1Or), wherein M is a polar head group and wherein n?2r. The value of n may range from 2 to about 20, and the value of r may range from 1 to about 10. The value of n plus r may range from 3 to about 30, and a ratio of n:r may have a value of about 2:1 to about 20:1.
    Type: Grant
    Filed: March 4, 2013
    Date of Patent: August 12, 2014
    Assignee: Texas Instruments Incorporated
    Inventors: William Robert Morrison, Mark Christopher Fisher, Murali Hanabe, Ganapathy Subramaniam Sivakumar, Simon Joshua Jacobs
  • Patent number: 8791023
    Abstract: A method of producing an inorganic thin film dielectric material layer includes providing a substrate. A first inorganic thin film dielectric material layer is deposited on the substrate using an atomic layer deposition process. The first inorganic thin film dielectric material layer is treated after its deposition. A patterned deposition inhibiting material layer is provided on the substrate. A second inorganic thin film dielectric material layer is selectively deposited on a region of the substrate where the deposition inhibiting material layer is not present using an atomic layer deposition process.
    Type: Grant
    Filed: August 31, 2012
    Date of Patent: July 29, 2014
    Assignee: Eastman Kodak Company
    Inventors: Carolyn R. Ellinger, David H. Levy, Shelby F. Nelson
  • Patent number: 8791005
    Abstract: A structure formed in an opening having a substantially vertical sidewall defined by a non-metallic material and having a substantially horizontal bottom defined by a conductive pad, the structure including a diffusion barrier covering the sidewall and a fill composed of conductive material.
    Type: Grant
    Filed: June 18, 2012
    Date of Patent: July 29, 2014
    Assignee: International Business Machines Corporation
    Inventors: Mukta G. Farooq, John A. Fitzsimmons, Troy L. Graves-Abe
  • Publication number: 20140204967
    Abstract: A thermal shunt is to transfer heat from a sidewall of a device to a silicon substrate. The device is associated with a Silicon-On-Insulator (SOI) including a buried oxide layer. The thermal shunt extends through the buried oxide layer to the silicon substrate.
    Type: Application
    Filed: August 31, 2011
    Publication date: July 24, 2014
    Inventor: Di Liang
  • Publication number: 20140203414
    Abstract: The invention provides a method for chemically modifying a surface of a substrate, preferably a silicon substrate, including the steps of providing a substrate having at least a portion of a surface thereof coated with an organic coating composition including unsaturated moieties forming a surface coating, and introducing a vapour phase reactive intermediate species based on a Group 14 or Group 15 element from the Periodic Table of Elements to the substrate whereupon the reactive intermediate species is able to react with a number of the unsaturated moieties in the coating composition thereby chemically modifying the surface coating. Also disclosed is a surface-modified substrate obtained or obtainable by the method, and uses thereof in the fabrication of MEMS and IC devices.
    Type: Application
    Filed: August 15, 2012
    Publication date: July 24, 2014
    Applicant: UNIVERSITY COURT OF THE UNIVERSITY OF ST ANDREWS
    Inventors: Georg Haehner, Malgorzata Adamkiewicz, David O'Hagan
  • Publication number: 20140203796
    Abstract: A silicon device, e.g., a nanoelectromechanical resonator, has a silicon substrate; an oxide layer having a trench therein; a silicon device layer over the oxide layer; and a nanowire disposed at least partly over the trench. Substantially no oxide or polysilicon is over the nanowire in the trench. A polyimide layer over the silicon device layer includes an opening over the trench. A silicon device can include silicon-on-insulator layers and at least one complementary metal-oxide semiconductor transistor in addition to a nanowire substantially suspended over a trench. A system for measurement of a nanoresonator includes an AC source in series with the nanoresonator to provide an electrical signal thereto at a selected first frequency. Electrode(s) adjacent to and spaced apart from the nanoresonator are driven by voltage source. A detector detects a current through the nanoresonator.
    Type: Application
    Filed: August 16, 2013
    Publication date: July 24, 2014
    Applicant: Purdue Research Foundation
    Inventors: Saeed Mohammadi, Hossein Pajouhi, Jeffrey Frederick Rhoads, Lin Yu
  • Publication number: 20140203413
    Abstract: A composite substrate has a carrier and a utility layer. The utility layer is attached to the carrier by means of a dielectric bonding layer and the carrier contains a radiation conversion material. Other embodiments relate to a semiconductor chip having such a composite substrate, a method for producing a composite substrate and a method for producing a semiconductor chip with a composite substrate.
    Type: Application
    Filed: December 16, 2011
    Publication date: July 24, 2014
    Applicant: OSRAM OPTO SEMICONDUCTORS GMBH
    Inventors: Johannes Baur, Berthold Hahn, Volker Härle, Karl Engl, Joachim Hertkorn, Tetsuya Taki
  • Patent number: 8785989
    Abstract: The invention includes semiconductor constructions containing optically saturable absorption layers. An optically saturable absorption layer can be between photoresist and a topography, with the topography having two or more surfaces of differing reflectivity relative to one another. The invention also includes methods of patterning photoresist in which a saturable absorption layer is provided between the photoresist and a topography with surfaces of differing reflectivity, and in which the differences in reflectivity are utilized to enhance the accuracy with which an image is photolithographically formed in the photoresist.
    Type: Grant
    Filed: May 16, 2011
    Date of Patent: July 22, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Lucien J. Bissey, William A. Stanton
  • Patent number: 8787419
    Abstract: Semiconductor photonic device surfaces are covered with a dielectric or a metal protective layer. The protective layer covers the entire device, including regions near facets at active regions, to prevent bare or unprotected semiconductor regions, thereby to form a very high reliability etched facet photonic device.
    Type: Grant
    Filed: February 17, 2006
    Date of Patent: July 22, 2014
    Assignee: Binoptics Corporation
    Inventor: Alex A. Behfar
  • Patent number: 8786059
    Abstract: A structure and method for producing the same is disclosed. The structure includes an organic passivation layer with solids suspended therein. Preferential etch to remove a portion of the organic material and expose portions of such solids creates enhanced surface roughness, which provides a significant advantage with respect to adhesion of that passivation layer to the packaging underfill material.
    Type: Grant
    Filed: May 10, 2012
    Date of Patent: July 22, 2014
    Assignee: International Business Machines Corporation
    Inventors: Alexandre Blander, Jon A Casey, Timothy H Daubenspeck, Ian D Melville, Jennifer V Muncy, Marie-Claude Paquet
  • Publication number: 20140191375
    Abstract: A method of fabricating a 3 dimensional structure, includes: forming a stack of at least 2 layers of photo resist material having different photo resist sensitivities upon a substrate; exposing the stack to beams of electromagnetic radiation or charged particles of different dosages to achieve selective solubility along a height of the stack; and dissolving soluble portions of the stack with a solvent to produce a 3 dimensional structure of desired geometry.
    Type: Application
    Filed: August 21, 2012
    Publication date: July 10, 2014
    Applicant: THE RESEARCH FOUNDATION OF STATE UNIVERSITY OF NEW YORK
    Inventors: John G. Hartley, Ravi K. Bonam
  • Patent number: 8772933
    Abstract: An interconnect structure and method of fabricating the same is provided. More specifically, the interconnect structure is a defect free capped interconnect structure. The structure includes a conductive material formed in a trench of a planarized dielectric layer which is devoid of cap material. The structure further includes the cap material formed on the conductive material to prevent migration. The method of forming a structure includes selectively depositing a sacrificial material over a dielectric material and providing a metal capping layer over a conductive layer within a trench of the dielectric material. The method further includes removing the sacrificial material with any unwanted deposited or nucleated metal capping layer thereon.
    Type: Grant
    Filed: December 12, 2007
    Date of Patent: July 8, 2014
    Assignee: International Business Machines Corporation
    Inventors: Ya Ou, Shom Ponoth, Terry A. Spooner
  • Publication number: 20140183706
    Abstract: Described herein are methods of forming dielectric films comprising silicon, such as, but not limited to, silicon oxide, silicon oxycarbide, silicon carbide, and combinations thereof, that exhibit at least one of the following characteristics: low wet etch resistance, a dielectric constant of 6.0 or below, and/or can withstand a high temperature rapid thermal anneal process. Also disclosed herein are the methods to form dielectric films or coatings on an object to be processed, such as, for example, a semiconductor wafer.
    Type: Application
    Filed: March 11, 2014
    Publication date: July 3, 2014
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Liu Yang, Manchao Xiao, Kirk Scott Cuthill, Bing Han, Mark Leonard O'Neill
  • Patent number: 8766412
    Abstract: A semiconductor chip has devices formed on a first principal plane of a semiconductor substrate, wherein a second principal plane of the semiconductor substrate is planarized, and an organic film having plus charges on an outer side is provided on the second principal plane.
    Type: Grant
    Filed: March 17, 2010
    Date of Patent: July 1, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Manabu Matsumoto
  • Publication number: 20140175617
    Abstract: A method of forming an oxygen-containing ceramic hard mask film on a semiconductor substrate involves receiving a semiconductor substrate in a plasma-enhanced chemical vapor deposition (PECVD) process chamber and depositing forming by PEVCD on the substrate an oxygen-containing ceramic hard mask film, the film being etch selective to low-k dielectric and copper, resistant to plasma dry-etch and removable by wet-etch. The method may further involve removing the oxygen-containing ceramic hard mask film from the substrate with a wet etch. Corresponding films and apparatus are also provided.
    Type: Application
    Filed: December 12, 2013
    Publication date: June 26, 2014
    Applicant: Lam Research Corporation
    Inventors: George Andrew Antonelli, Alice Hollister, Sirish Reddy
  • Publication number: 20140175567
    Abstract: Conducting materials having narrow impurity conduction bands can reduce the number of high energy excitations, and can be prepared by a sequence of plasma treatments. For example, a dielectric layer can be exposed to a first plasma ambient to form vacancy sites, and the vacancy-formed dielectric layer can be subsequently exposed to a second plasma ambient to fill the vacancy sites with substitutional impurities.
    Type: Application
    Filed: December 20, 2012
    Publication date: June 26, 2014
    Applicant: INTERMOLECULAR, INC.
    Inventors: Sergey Barabash, Dipankar Pramanik
  • Patent number: 8759952
    Abstract: An integrated circuit structure and a method of forming the same are provided. The method includes providing a surface; performing an ionized oxygen treatment to the surface; forming an initial layer comprising silicon oxide using first process gases comprising a first oxygen-containing gas and tetraethoxysilane (TEOS); and forming a silicate glass over the initial layer. The method may further include forming a buffer layer using second process gases comprising a second oxygen-containing gas and TEOS, wherein the first and the second process gases have different oxygen-to-TEOS ratio.
    Type: Grant
    Filed: January 25, 2012
    Date of Patent: June 24, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shiu-Ko JangJian, Wan-Ting Huang, Yu-Jen Chien, Phil Sun
  • Patent number: 8759849
    Abstract: A driving substrate includes: a protective layer including an etching surface; and a film layer including one or more convex portions on a surface thereof, the film layer being in contact with a rear surface of the protective layer, the one or more convex portions each having a surface being flush with the etching surface.
    Type: Grant
    Filed: November 16, 2012
    Date of Patent: June 24, 2014
    Assignee: Sony Corporation
    Inventors: Kenta Masuda, Keiichi Akamatsu, Yuichi Kato
  • Publication number: 20140167228
    Abstract: A method for etching features with different aspect ratios in an etch layer is provided. A plurality of cycles is provided wherein each cycle comprises a pre-etch transient conditioning of the etch layer, which provides a transient condition of the etch layer, wherein the transient condition has a duration and etching the etch layer for a duration, wherein the duration of the etching with respect to the duration of the transient condition is controlled to control etch aspect ratio dependence.
    Type: Application
    Filed: December 14, 2012
    Publication date: June 19, 2014
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Wonchul LEE, Qian FU, John S. DREWERY
  • Publication number: 20140167227
    Abstract: A mechanism for forming a semiconductor device is described. The semiconductor device includes a substrate and an inter-layer dielectric (ILD) layer over the substrate. The intermediate semiconductor device further includes a first layer set over the ILD layer and a second layer set over the first layer set. The intermediate semiconductor device further includes a photoresist layer over the second layer set. The method further includes etching the second layer set to form a tapered opening in the second layer set, the tapered opening having sidewalls at an angle with respect to a top surface of the ILD layer ranging from about 85-degrees to about 90-degrees, but less than 90-degrees. The method further includes etching the first layer set to form an opening in the first layer set and etching the ILD layer using the first layer set as a mask to form an opening in the ILD layer.
    Type: Application
    Filed: December 14, 2012
    Publication date: June 19, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
  • Publication number: 20140159211
    Abstract: A semiconductor structure includes a dielectric layer located on a substrate, wherein the dielectric layer includes nitrogen atoms, and the concentration of the nitrogen atoms in the dielectric layer is lower than 5% at a location wherein the distance between this location in the dielectric layer to the substrate is less than 20% of the thickness of the dielectric layer. Moreover, the present invention provides a semiconductor process including the following steps: a dielectric layer is formed on a substrate. Two annealing processes are performed in-situly on the dielectric layer, wherein the two annealing processes have different imported gases and different annealing temperatures.
    Type: Application
    Filed: December 10, 2012
    Publication date: June 12, 2014
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Chien-Liang Lin, Yu-Ren Wang, Ying-Wei Yen
  • Patent number: 8749031
    Abstract: According to one embodiment, a semiconductor device includes a semiconductor device body and an insulating adhesive layer. The semiconductor device body is formed with a square plate shape and has an element portion provided on a first major surface. The insulating adhesive layer is provided to cover a second major surface of the semiconductor device body and one or two of four side faces of the semiconductor device body.
    Type: Grant
    Filed: March 18, 2011
    Date of Patent: June 10, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Ryoji Matsushima
  • Publication number: 20140151856
    Abstract: The chip module includes a carrier, a semiconductor chip arranged on or embedded inside the carrier, and an insulation layer that at least partly covers a face of the carrier. The dielectric constant ?r and the thermal conductivity ? of the insulation layer satisfy the condition ?·?r<4.0 W·m?1·K?1.
    Type: Application
    Filed: December 4, 2012
    Publication date: June 5, 2014
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Ralf Otremba, Josef Hoeglauer, Juergen Schredl, Xaver Schloegel, Klaus Schiess
  • Publication number: 20140151857
    Abstract: A method and apparatus are presented for reducing halide-based contamination within deposited titanium-based thin films. Halide adsorbing materials are utilized within the deposition chamber to remove halides, such as chlorine and chlorides, during the deposition process so that contamination of the titanium-based film is minimized. A method for regenerating the halide adsorbing material is also provided.
    Type: Application
    Filed: February 10, 2014
    Publication date: June 5, 2014
    Applicant: Micron Technology, Inc.
    Inventors: Garo J. Derderian, Cem Basceri, Donald L. Westmoreland
  • Patent number: 8741739
    Abstract: A semiconductor structure and a method of forming the same. In one embodiment, a method of forming a silicon-on-insulator (SOI) wafer substrate includes: providing a handle substrate; forming a high resistivity material layer over the handle substrate, the high resistivity material layer including one of an amorphous silicon carbide (SiC), a polycrystalline SiC, an amorphous diamond, or a polycrystalline diamond; forming an insulator layer over the high resistivity material layer; and bonding a donor wafer to a top surface of the insulator layer to form the SOI wafer substrate.
    Type: Grant
    Filed: January 3, 2012
    Date of Patent: June 3, 2014
    Assignee: International Business Machines Corporation
    Inventors: Alan B. Botula, Mark D. Jaffe, Alvin J. Joseph
  • Publication number: 20140145314
    Abstract: A semiconductor structure with beryllium oxide is provided. The semiconductor structure comprises: a semiconductor substrate (100); and a plurality of insulation oxide layers (201, 202 . . . 20x) and a plurality of single crystal semiconductor layers (301, 302 . . . 30x) alternately stacked on the semiconductor substrate (100). A material of the insulation oxide layer (201) contacted with the semiconductor substrate (100) is any one of beryllium oxide, SiO2, SiOxNy and a combination thereof, a material of other insulation oxide layers (202 . . . 20x) is single crystal beryllium oxide.
    Type: Application
    Filed: December 18, 2012
    Publication date: May 29, 2014
    Inventors: JING Wang, Renrong Liang, Lei Guo, Jun Xu
  • Publication number: 20140145312
    Abstract: A semiconductor structure with a rare earth oxide is provided. The semiconductor structure comprises: a semiconductor substrate (100); and a plurality of insulation oxide layers (201, 202 . . . 20x) and a plurality of single crystal semiconductor layers (301, 302 . . . 30x) alternately stacked on the semiconductor substrate (100). A material of the insulation oxide layer (201) contacted with the semiconductor substrate (100) is any one of a rare earth oxide, SiO2, SiOxNy and a combination thereof, a material of other insulation oxide layers (202 . . . 20x) is a single crystal rare earth oxide.
    Type: Application
    Filed: December 18, 2012
    Publication date: May 29, 2014
    Inventors: Jing Wang, Renrong Liang, Lei Guo, Jun Xu
  • Patent number: 8736029
    Abstract: A semiconductor apparatus includes a semiconductor substrate. The semiconductor substrate includes an active region in which a semiconductor device is formed, and a peripheral region which is located between the active region and an edge surface of the semiconductor substrate. A first insulating layer including conductive particles is formed above at least a part of the peripheral region. By constructing the semiconductor apparatus in this manner, generation of a high electric field in the peripheral region can be suppressed. Therefore, voltage endurance characteristics of the semiconductor apparatus can be improved.
    Type: Grant
    Filed: July 18, 2012
    Date of Patent: May 27, 2014
    Assignee: Toyota Jidosha Kabushiki Kaisha
    Inventor: Keigo Sato
  • Patent number: 8735244
    Abstract: A method of forming a dielectric stack devoid of an interfacial layer includes subjecting an exposed interfacial layer provided on a semiconductor material to a low pressure thermal anneal process for a predetermined time period at a temperature of about 900° C. to about 1000° C. with an inert gas purge. A semiconductor structure is also disclosed, with a dielectric stack devoid of an interfacial layer.
    Type: Grant
    Filed: May 2, 2011
    Date of Patent: May 27, 2014
    Assignee: International Business Machines Corporation
    Inventors: Michael P. Chudzik, Min Dai
  • Publication number: 20140138800
    Abstract: A method is provided for fabricating small pitch patterns. The method includes providing a semiconductor substrate, and forming a target material layer having a first region and a second region on the semiconductor substrate. The method also includes forming a plurality of discrete first sacrificial layers on the first region of the target material layer and a plurality of discrete second sacrificial layers on the second region of the target material layer, and forming first sidewall spacers on both sides of the discrete first sacrificial layers and the discrete second sacrificial layers. Further, the method includes removing the first sacrificial layers and the second sacrificial layers, and forming second sidewall spacers. Further, the method also includes forming discrete repeating patterns in the first region of the target material layer and a continuous pattern in the second region of the target material layer.
    Type: Application
    Filed: March 15, 2013
    Publication date: May 22, 2014
    Applicant: SEMICONDUCTOR MANUFACTURING INTERNATIONAL CORP.
    Inventor: SEMICONDUCTOR MANUFACTURING INTERNATIONAL CORP.
  • Patent number: 8729677
    Abstract: A semiconductor wafer including: a base wafer; a seed crystal disposed on the base wafer; a compound semiconductor disposed above the seed crystal; and a high resistance layer disposed between the seed crystal and the compound semiconductor, the high resistance layer having a larger resistivity than the seed crystal, and the seed crystal lattice matching or pseudo lattice matching the compound semiconductor is provided.
    Type: Grant
    Filed: November 26, 2009
    Date of Patent: May 20, 2014
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Sadanori Yamanaka, Masahiko Hata, Tomoyuki Takada