Insulating Coating Patents (Class 257/632)
  • Patent number: 8497191
    Abstract: A semiconductor device in which selectivity in epitaxial growth is improved. There is provided a semiconductor device comprising a gate electrode formed over an Si substrate, which is a semiconductor substrate, with a gate insulating film therebetween and an insulating layer formed over sides of the gate electrode and containing a halogen element. With this semiconductor device, a silicon nitride film which contains the halogen element is formed over the sides of the gate electrode when an SiGe layer is formed over the Si substrate. Therefore, the SiGe layer epitaxial-grows over the Si substrate with high selectivity. As a result, an OFF-state leakage current which flows between, for example, the gate electrode and source/drain regions is suppressed and a manufacturing process suitable for actual mass production is established.
    Type: Grant
    Filed: October 14, 2008
    Date of Patent: July 30, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Masahiro Fukuda, Yosuke Shimamune, Masaaki Koizuka, Katsuaki Ookoshi
  • Patent number: 8482106
    Abstract: The invention relates to a method for producing passivation layers on crystalline silicon by a) coating the silicon with a solution containing at least one polysilazane of the general formula (1): —(SiR?R?—NR??)-n, wherein R?, R?, R?? are the same or different and stand independently of each other for hydrogen or a possibly substituted alkyl, aryl, vinyl, or (trialkoxysilyl)alkyl group, wherein n is an integer and n is chosen such that the polysilazane has a number average molecular weight of 150 to 150,000 g/mol, b) subsequently removing the solvent by evaporation, whereby polysilazane layers of 50-500 nm thickness remain on the silicon wafer, and c) heating the polysilazane layer at normal pressure to 200-1000° C. in the presence of air or nitrogen, wherein upon tempering the ceramic layers release hydrogen for bulk passivation of the silicon.
    Type: Grant
    Filed: August 26, 2009
    Date of Patent: July 9, 2013
    Inventors: Klaus Rode, Hartmut Wiezer
  • Patent number: 8482497
    Abstract: The invention provides a switch matrix and display matrix of a display device. The display matrix of a display device includes: a switch matrix including M×N MEMS switches, wherein M is the number of rows and N is the number of columns, and MEMS switches in each row are controlled by a corresponding row drive signal to output respective column data signals; and a pixel matrix including M×N pixel units each of which is coupled with a corresponding one of the M×N MEMS switches and displays in response to the column data signal output from the corresponding MEMS switch. The switch matrix can simplify pixel design and reduce layout area of each pixel. Moreover, conventional design needs special process to handle high voltage of source driver. This invention can realize a display device with one common process while source driver uses high voltage process conventionally.
    Type: Grant
    Filed: June 14, 2010
    Date of Patent: July 9, 2013
    Assignee: Jiangsu Lexvu Electronics Co., Ltd.
    Inventors: Lei Zhang, Herb He Huang
  • Publication number: 20130168835
    Abstract: A semiconductor structure and a method of forming the same. In one embodiment, a method of forming a silicon-on-insulator (SOI) wafer substrate includes: providing a handle substrate; forming a high resistivity material layer over the handle substrate, the high resistivity material layer including one of an amorphous silicon carbide (SiC), a polycrystalline SiC, an amorphous diamond, or a polycrystalline diamond; forming an insulator layer over the high resistivity material layer; and bonding a donor wafer to a top surface of the insulator layer to form the SOI wafer substrate.
    Type: Application
    Filed: January 3, 2012
    Publication date: July 4, 2013
    Applicant: International Business Machines Corporation
    Inventors: Alan B. Botula, Mark D. Jaffe, Alvin J. Joseph
  • Publication number: 20130168836
    Abstract: Methods for producing silicon on insulator structures with a reduced metal content in the device layer thereof are disclosed. Silicon on insulator structures with a reduced metal content are also disclosed.
    Type: Application
    Filed: February 8, 2013
    Publication date: July 4, 2013
    Applicant: MEMC ELECTRONIC MATERIALS, INC.
    Inventor: MEMC Electronic Materials, Inc.
  • Patent number: 8476739
    Abstract: A graphene-on-oxide substrate according to the present invention includes: a substrate having a metal oxide layer formed on its surface; and, formed on the metal oxide layer, a graphene layer including at least one atomic layer of the graphene. The graphene layer is grown generally parallel to the surface of the metal oxide layer, and the inter-atomic-layer distance between the graphene atomic layer adjacent to the surface of the metal oxide layer and the surface atomic layer of the metal oxide layer is 0.34 nm or less. Preferably, the arithmetic mean surface roughness Ra of the metal oxide layer is 1 nm or less.
    Type: Grant
    Filed: November 24, 2009
    Date of Patent: July 2, 2013
    Assignee: Hitachi, Ltd.
    Inventors: Makoto Okai, Motoyuki Hirooka, Takashi Kyotani, Hironori Orikasa
  • Patent number: 8476740
    Abstract: To provide a semiconductor wafer surface protection sheet having good adhesion to irregularities on a patterned surface of a semiconductor wafer and having good peelability after wafer grinding. Specifically, a semiconductor wafer surface protection sheet is provided that includes a base layer having a tensile elasticity at 25° C., E(25), of 1 GPa or more; a resin layer A that satisfies the condition EA(60)/EA(25)<0.1, where EA(25) is a tensile elasticity at 25° C. and EA(60) is a tensile elasticity at 60° C., the EA(60) ranging from 0.005 MPa to 1 MPa; and a resin layer B having a tensile elasticity at 60° C., EB(60), of 1 MPa or more and having a thickness of 0.1 ?m to less than 100 ?m, the EB(60) being larger than the EA(60) of the resin layer A.
    Type: Grant
    Filed: May 31, 2011
    Date of Patent: July 2, 2013
    Assignee: Mitsui Chemicals Tohcello, Inc.
    Inventors: Eiji Hayashishita, Yoshihisa Saimoto, Makoto Kataoka, Katsutoshi Ozaki, Mitsuru Sakai
  • Publication number: 20130154063
    Abstract: A driving substrate includes: a protective layer including an etching surface; and a film layer including one or more convex portions on a surface thereof, the film layer being in contact with a rear surface of the protective layer, the one or more convex portions each having a surface being flush with the etching surface.
    Type: Application
    Filed: November 16, 2012
    Publication date: June 20, 2013
    Applicant: SONY CORPORATION
    Inventor: SONY CORPORATION
  • Patent number: 8461060
    Abstract: A semiconductor device and a method of forming it are disclosed in which at least two adjacent conductors have an air-gap insulator between them which is covered by nanoparticles of insulating material being a size which prevent the nanoparticles from substantially entering into the air-gap.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: June 11, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Nishant Sinha, Gurtej Sandhu, Neil Greeley, John Smythe
  • Patent number: 8461666
    Abstract: A gallium nitride-based semiconductor device includes a composite substrate and a gallium nitride layer. The composite substrate includes a silicon substrate and a filler. The silicon substrate includes a first surface and a second surface opposite to the first surface, and the first surface defines a number of grooves therein. The filler is filled into the number of grooves on the first surface of the silicon substrate. A thermal expansion coefficient of the filler is bigger than that of the silicon substrate. The gallium nitride layer is formed on the second surface of the silicon substrate.
    Type: Grant
    Filed: December 4, 2012
    Date of Patent: June 11, 2013
    Assignee: Advanced Optoelectronic Technology, Inc.
    Inventors: Po-Min Tu, Shih-Cheng Huang, Shun-Kuei Yang, Chia-Hung Huang
  • Publication number: 20130134562
    Abstract: The present disclosure provides a semiconductor device and a method for fabricating a semiconductor buried layer. The method includes: preparing a substrate which includes a first oxide layer; forming a first buried layer region in the surface of the substrate by using a photoresist layer with a first buried layer region pattern as a mask, in which a doping state of the first buried layer region is different from a doping state of other region of the substrate; forming a second oxide layer on the surface of the substrate and the first buried layer region; and forming a second buried layer region in the surface of the substrate through self alignment process by using the second oxide layer as a mask. The method disclosed by the present disclosure reduces the complexity of the buried layer procedures and the cost thereof, as well as the probability of crystal defects.
    Type: Application
    Filed: September 1, 2011
    Publication date: May 30, 2013
    Inventors: Hua Song, Hsiao-Chia Wu, Tse-Huang Lo
  • Publication number: 20130134561
    Abstract: The dominant source of thermal resistance for silicon photonic devices patterned on SOI wafers is the buried oxide layer. To ensure efficient thermally driven silicon devices there is a need for a large thermal resistance. This is in contrast to temperature sensitive components need to have low thermal resistance in order to reduce their temperature to ensure good performance. Embodiments comprise etching the back of an SOI wafer to expose the buried oxide layer and depositing an additional layer of silicon oxide to increase the local thermal resistance. Thus, embodiments provide the ability to tailor the thermal resistance across the wafer or die depending on the device being fabricated.
    Type: Application
    Filed: March 31, 2008
    Publication date: May 30, 2013
    Inventor: Richard Jones
  • Publication number: 20130127021
    Abstract: Methods for adhering materials and methods for enhancing adhesion between materials are disclosed. In some embodiments, a polymer brush material is bonded to a base material, and a developable polymer resist material is applied over the grafted polymer brush material. The resist material is at least partially miscible in the grafted polymer brush material. As such, the resist material at least partially dissolves within the grafted polymer brush material to form an intertwined material of grafted polymer brush macromolecules and resist polymer macromolecules. Adhesion between the developable polymer resist and the base material may be thereby enhanced. Also disclosed are related semiconductor device structures.
    Type: Application
    Filed: November 17, 2011
    Publication date: May 23, 2013
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Dan B. Millward
  • Publication number: 20130127022
    Abstract: An embodiment of the invention provides a method for forming an electronic device package, which includes providing a carrier substrate having an upper surface and an opposite lower surface; forming a cavity from the upper surface of the carrier substrate; disposing an electronic device having a conducting electrode in the cavity; forming a filling layer in the cavity, wherein the filling layer surround the electronic device; thinning the carrier substrate from the lower surface to a predetermined thickness; forming at least a through-hole in the electronic device or the in the carrier substrate; and forming a conducting layer over a sidewall of the through-hole, wherein the conducting layer electrically connects to the conducting electrode.
    Type: Application
    Filed: January 14, 2013
    Publication date: May 23, 2013
    Applicant: XINTEC INC.
    Inventor: Xintec Inc.
  • Patent number: 8445382
    Abstract: A dual damascene process for forming conductive interconnects on an integrated circuit die. The process includes providing a layer (16) of porous, ultra low-k (ULK) dielectric material in which a via opening (30) is subsequently formed. A thermally degradable polymeric (“porogen”) material (42) is applied to the side wall sidewalls of the opening (30) such that the porogen material penetrates deeply into the porous ULK dielectric material (thereby sealing the pores and increasing the density thereof). Once a conductive material (36) has been provided with the opening (30) and polished back by means of chemical mechanical polishing (CMP), the complete structure is subjected to a curing step to cause the porogen material (44) with the ULK dielectric layer (16) to decompose and evaporate, thereby restoring the porosity (and low-k value) of the dielectric layer (16). Attached are a marked-up copy of the originally filed specification and a clean substitute specification in accordance with 37 C.F.R. §§1.
    Type: Grant
    Filed: March 20, 2006
    Date of Patent: May 21, 2013
    Assignee: NXP B.V.
    Inventor: Willem Frederik Adrianus Besling
  • Patent number: 8445952
    Abstract: A dielectric layer containing a Zr—Sn—Ti—O film and a method of fabricating such a dielectric layer produce a reliable dielectric layer having an equivalent oxide thickness thinner than attainable using SiO2. In an embodiment, forming the Zr—Sn—Ti—O film on a substrate includes depositing materials of the Zr—Sn—Ti—O film substantially as atomic monolayers. In an embodiment, electronic devices include a dielectric layer having a Zr—Sn—Ti—O film such that Zr—Sn—Ti—O material is configured as substantially atomic monolayers. Dielectric layers containing such Zr—Sn—Ti—O films may have minimal reactions with a silicon substrate or other structures during processing.
    Type: Grant
    Filed: October 30, 2009
    Date of Patent: May 21, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Publication number: 20130113086
    Abstract: Planarization methods and microelectronic structures formed therefrom are disclosed. The methods and structures use planarization materials comprising fluorinated compounds or acetoacetylated compounds. The materials are self-leveling and achieve planarization over topography without the use of etching, contact planarization, chemical mechanical polishing, or other conventional planarization techniques.
    Type: Application
    Filed: November 8, 2012
    Publication date: May 9, 2013
    Applicant: BREWER SCIENCE INC.
    Inventor: Brewer Science Inc.
  • Publication number: 20130113085
    Abstract: Provided are low temperature methods of depositing hafnium or zirconium containing films using a Hf(BH4)4 precursor, or Zr(BH4)4 precursor, respectively, as well as a co-reactant. The co-reactant can be selected to obtain certain film compositions. Co-reactants comprising an oxidant can be used to deposit oxygen into the film. Accordingly, also provided are films comprising a metal, boron and oxygen, wherein the metal comprises hafnium where a Hf(BH4)4 precursor is used, or zirconium, where a Zr(BH4)4 precursor is used.
    Type: Application
    Filed: November 4, 2011
    Publication date: May 9, 2013
    Applicant: Applied Materials, Inc.
    Inventors: Timothy Michaelson, Timothy W. Weidman, Paul Deaton
  • Patent number: 8436449
    Abstract: A method for fabricating chip package includes providing a semiconductor chip with a bonding pad, comprising an adhesion/barrier layer, connected to a pad through an opening in a passivation layer, next adhering the semiconductor chip to a substrate using a glue material, next bonding a wire to the bonding pad and to the substrate, forming a polymer material on the substrate, covering the semiconductor chip and the wire, next forming a lead-free solder ball on the substrate, and then cutting the substrate and polymer material to form a chip package.
    Type: Grant
    Filed: May 13, 2011
    Date of Patent: May 7, 2013
    Assignee: Megica Corporation
    Inventor: Mou-Shiung Lin
  • Publication number: 20130099363
    Abstract: Methods for sealing a porous dielectric are presented including: receiving a substrate, the substrate including the porous dielectric; exposing the substrate to an organosilane, where the organosilane includes a hydrolysable group for facilitating attachment with the porous dielectric, and where the organosilane does not include an alkyl group; and forming a layer as a result of the exposing to seal the porous dielectric. In some embodiments, methods are presented where the organosilane includes: alkynyl groups, aryl groups, fluoroalkyl groups, heteroaryl groups, alcohol groups, thiol groups, amine groups, thiocarbamate groups, ester groups, ether groups, sulfide groups, and nitrile groups. In some embodiments, method further include: removing contamination from the porous dielectric and a conductive region of the substrate prior to the exposing; and removing contamination from the conductive region after the forming.
    Type: Application
    Filed: December 17, 2012
    Publication date: April 25, 2013
    Applicant: INTERMOLECULAR, INC.
    Inventor: Intermolecular, Inc.
  • Publication number: 20130099357
    Abstract: A method of fabricating a rare earth oxide buffered III-N on silicon wafer including providing a crystalline silicon substrate, depositing a rare earth oxide structure on the silicon substrate including one or more layers of single crystal rare earth oxide, and depositing a layer of single crystal III-N material on the rare earth oxide structure so as to form an interface between the rare earth oxide structure and the layer of single crystal III-N material. The layer of single crystal III-N material produces a tensile stress at the interface and the rare earth oxide structure has a compressive stress at the interface dependent upon a thickness of the rare earth oxide structure. The rare earth oxide structure is grown with a thickness sufficient to provide a compressive stress offsetting at least a portion of the tensile stress at the interface to substantially reduce bowing in the wafer.
    Type: Application
    Filed: October 21, 2011
    Publication date: April 25, 2013
    Inventors: Rytis Dargis, Erdem Arkun, Radek Roucka, Andrew Clark, Michael Lebby
  • Publication number: 20130093029
    Abstract: A process for creating a beryllium oxide film on the surface of a semiconductor material is disclosed. The process is useful for making gate dielectric layers for metal-oxide-semiconductor (MOS) devices, particularly III-V semiconductor devices.
    Type: Application
    Filed: October 12, 2011
    Publication date: April 18, 2013
    Applicant: SEMATECH, INC.
    Inventors: Jung Hwan YUM, Gennadi Bersuker, K. Sanjay Banerjee
  • Publication number: 20130087892
    Abstract: A system and method for providing a post-passivation opening and undercontact metallization is provided. An embodiment comprises an opening through the post-passivation which has a first dimension longer than a second dimension, wherein the first dimension is aligned perpendicular to a chip's direction of coefficient of thermal expansion mismatch. By shaping and aligning the opening through the post-passivation layer in this fashion, the post-passivation layer helps to shield the underlying layers from stresses generated from mismatches of the materials' coefficient of thermal expansion.
    Type: Application
    Filed: October 7, 2011
    Publication date: April 11, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd
    Inventors: Ming-Chih Yew, Wen-Yi Lin, Fu-Jen Li, Po-Yao Lin
  • Publication number: 20130082361
    Abstract: Provided are a method of manufacturing a flexible device and a flexible device manufactured thereby. The method of manufacturing a flexible device according to the present disclosure includes: fabricating a device on an upper silicon layer of a silicon-on-insulator (SOI) substrate comprising a lower silicon layer, an insulation layer and the upper silicon layer stacked sequentially; adhering a second silicon substrate to the upper silicon layer; removing the lower silicon layer; transferring the upper silicon layer with the device fabricated to a flexible substrate using the second silicon substrate; and stacking a passivation layer on the flexible substrate, wherein the device is located at a position of a neutral mechanical plane of the entire device as the passivation layer is stacked.
    Type: Application
    Filed: February 14, 2012
    Publication date: April 4, 2013
    Inventors: Keon Jae LEE, Kwyro Lee, Geon Tae Hwang, Donggu Im
  • Publication number: 20130075873
    Abstract: Provided is a glass composition for protecting a semiconductor junction which contains at least SiO2, Al2O3, ZnO, CaO and 3 mol % to 10 mol % of B2O3, and substantially contains none of Pb, P, As, Sb, Li, Na and K. It is preferable that a content of SiO2 falls within a range of 32 mol % to 48 mol %, a content of Al2O3 falls within a range of 9 mol % to 13 mol %, a content of ZnO falls within a range of 18 mol % to 28 mol %, a content of CaO falls within a range of 15 mol % to 23 mol %, and a content of B2O3 falls within a range of 3 mol % to 10 mol %.
    Type: Application
    Filed: May 26, 2011
    Publication date: March 28, 2013
    Applicant: SHINDENGEN ELECTRIC MANUFACTURING CO., LTD.
    Inventors: Atsushi Ogasawara, Kazuhiko Ito, Koji Ito
  • Publication number: 20130075872
    Abstract: A die includes a substrate, a metal pad over the substrate, and a passivation layer that has a portion over the metal pad. A dummy pattern is disposed adjacent to the metal pad. The dummy pattern is level with, and is formed of a same material as, the metal pad. The dummy pattern forms at least a partial ring surrounding at least a third of the metal pad.
    Type: Application
    Filed: September 28, 2011
    Publication date: March 28, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yao-Chun Chuang, Chita Chuang, Chen-Cheng Kuo, Chen-Shien Chen
  • Patent number: 8405192
    Abstract: The present disclosure provides a dielectric material including a low dielectric constant material and an additive. The additive includes a compound having a Si—X—Si bridge, where X is a number of carbon atoms between 1 and 8. The additive may include terminal Si—CH3 groups. The dielectric material including the additive may be used as an inter-layer dielectric (ILD) layer of a semiconductor device. The dielectric material including the additive may be formed using a CVD or sol-gel process. One example of the additive is bis(triethoxysilyl)ethene.
    Type: Grant
    Filed: September 29, 2010
    Date of Patent: March 26, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsin-Yen Huang, Ching-Yu Lo, Hai-Ching Chen, Tien-I Bao
  • Patent number: 8405172
    Abstract: A semiconductor device excellent in the magnetic shielding effect of blocking off external magnetic fields is provided. The semiconductor device includes: an interlayer insulating film so formed as to cover a switching element formed over a main surface of a semiconductor substrate; a flat plate-like lead wiring; a coupling wiring coupling the lead wiring and the switching element with each other; and a magnetoresistive element including a magnetization free layer the orientation of magnetization of which is variable and formed over the lead wiring. The semiconductor device has a wiring and another wiring through which the magnetization state of the magnetization free layer can be varied. In a memory cell area where multiple magnetoresistive elements are arranged, a first high permeability film arranged above the magnetoresistive elements is extended from the memory cell area up to a peripheral area that is an area other than the memory cell area.
    Type: Grant
    Filed: March 30, 2011
    Date of Patent: March 26, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Mikio Tsujiuchi, Masayoshi Tarutani, Yosuke Takeuchi
  • Publication number: 20130069207
    Abstract: A deposit and a method for producing a deposit on a surface of a silicon substrate. The deposit comprises aluminum oxide, and the method comprises in any order the alternating steps of a) introducing into a reaction space one of water and ozone as a precursor for oxygen, b) introducing into a reaction space the other of water and ozone as a precursor for oxygen, c) introducing into a reaction space a precursor for aluminum and subsequently purging the reaction space;with the provisions that when step a) or step b) precedes step c) then the reaction space is purged before step c), and that the reaction space is not purged between step a) and step b), when step a) precedes step b) or when step b) precedes step a).
    Type: Application
    Filed: May 6, 2011
    Publication date: March 21, 2013
    Applicant: Beneq Oy
    Inventor: Jarmo Skarp
  • Publication number: 20130049111
    Abstract: According to one embodiment, in a dielectric isolation substrate, an insulating film having a first thickness is provided on a semiconductor substrate. A semiconductor layer of a first conductivity type having a second thickness is provided on the insulating film. An impurity diffusion layer of a second conductivity type is provided partially in a lower portion of the semiconductor layer and is in contact with the insulating film.
    Type: Application
    Filed: March 2, 2012
    Publication date: February 28, 2013
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Ryo WADA, Kaori Yoshioka, Norio Yasuhara, Tomoko Matsudai, Yuichi Goto
  • Patent number: 8378226
    Abstract: A wired circuit board includes a conductive pattern, and an insulating layer covering the conductive pattern and having a transmittance of not more than 30% with respect to a wavelength in a range of 600 to 680 nm.
    Type: Grant
    Filed: October 9, 2008
    Date of Patent: February 19, 2013
    Assignee: Nitto Denko Corporation
    Inventors: Makoto Tsunekawa, Kei Nakamura, Takatoshi Sakakura, Yoshihiro Toyoda
  • Patent number: 8378465
    Abstract: The present invention is a method and an apparatus for optical modulation, for example for use in optical communications links. In one embodiment, an apparatus for optical modulation includes a first silicon layer having one or more trenches formed therein, a dielectric layer lining the first silicon layer, and a second silicon layer disposed on the dielectric layer and filling the trenches.
    Type: Grant
    Filed: January 12, 2011
    Date of Patent: February 19, 2013
    Assignee: International Business Machines Corporation
    Inventors: Yurii A. Vlasov, Fengnian Xia
  • Patent number: 8378464
    Abstract: A method for manufacturing a semiconductor device includes steps of: (a) forming a thin film containing a phenyl group and silicon on a substrate while obtaining a plasma by activating an organic silane gas containing a phenyl group and silicon and nitrogen as not original component but unavoidable impurity and exposing the substrate to the plasma, temperature of the substrate being set at 200° C. or lower; and (b) obtaining a low-permittivity film by supplying energy to the substrate to allow moisture to be released from the thin film. With this method for manufacturing the semiconductor device, it is possible to obtain a silicon-oxide based low-permittivity film containing an organic substance which is not significantly damaged by the release of the organic substance when subjected to a plasma treatment such as an etching treatment, an ashing treatment, and/or the like.
    Type: Grant
    Filed: January 6, 2010
    Date of Patent: February 19, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Yoshihiro Kato, Yusaku Kashiwagi, Takashi Matsumoto
  • Publication number: 20130026609
    Abstract: An apparatus configured to be coupled onto a substrate, wherein the apparatus comprises a semiconductor substrate and the semiconductor substrate includes a plurality of trenches defined within a side of the semiconductor substrate. The apparatus further comprises an interconnect layer over portions of the side of the semiconductor substrate, wherein the portions of the side of the semiconductor substrate include the plurality of trenches defined within the side of the semiconductor substrate. Each trench is configured to respectively receive a solder ball to provide an interface between i) the interconnect layer and ii) the substrate to which the apparatus is to be coupled.
    Type: Application
    Filed: October 9, 2012
    Publication date: January 31, 2013
    Applicant: MARVELL WORLD TRADE LTD.
    Inventor: Marvell World Trade Ltd.
  • Publication number: 20130026608
    Abstract: The invention relates to a process for manufacturing a semiconductor structure comprising a functionalized layer on a support substrate, comprising the following steps: (a) implanting ionic species in a source substrate comprising the said functionalized layer and a sacrificial buffer layer located under the functionalized layer relative to the direction of implantation, to a depth delimiting the thickness of an upper part of the source substrate comprising the functionalized layer and at least part of the buffer layer; (b) bonding the source substrate to the support substrate; (c) fracturing the source substrate and transferring the upper part of the source substrate to the support substrate; (d) removing the buffer layer by selective etching with respect to the functionalized layer.
    Type: Application
    Filed: July 25, 2012
    Publication date: January 31, 2013
    Applicant: SOITEC
    Inventor: Ionut Radu
  • Patent number: 8362627
    Abstract: Electronic devices and methods for fabricating electronic devices are described. One method includes providing a substrate with a die attach area, and forming a layer on the substrate outside of the die attach area. The layer may be formed from a fluoropolymer material. The method also includes coupling a die to the substrate in the die attach area, wherein a gap remains between the die and the die attach area. The method also includes placing an underfill material in the gap and adjacent to the layer on the substrate. Examples of fluoropolymer materials which may be used include polytetrafluoroethylene (PTFE) and perfluoroalkoxy polymer resin (PFA). Other embodiments are described and claimed.
    Type: Grant
    Filed: December 20, 2010
    Date of Patent: January 29, 2013
    Assignee: Intel Corporation
    Inventors: Shripad Gokhale, Kathy Wei Yan, Bijay S. Saha, Samir Pandey, Ngoc K. Dang, Munehiro Toyama
  • Publication number: 20130020684
    Abstract: The actinic ray-sensitive or radiation-sensitive resin composition according to the present invention includes a resin (A) which contains at least one type of repeating unit which is represented by the general formula (PG1), at least one type of repeating unit which is selected from the repeating units which are represented by the general formula (PG2) and the general formula (PG3), and at least one type of repeating unit which includes a lactone structure, a compound (B) which is a compound which is represented by the general formula (B1) and where the molecular weight of an anion moiety is 200 or less, and a solvent (C).
    Type: Application
    Filed: July 2, 2012
    Publication date: January 24, 2013
    Applicant: FUJIFILM CORPORATION
    Inventor: Kaoru IWATO
  • Publication number: 20130015562
    Abstract: Provided is an actinic-ray- or radiation-sensitive resin composition including (A) a resin that when acted on by an acid, is decomposed to thereby increase its solubility in an alkali developer, (B) an onium salt containing a nitrogen atom in its cation moiety, which onium salt when exposed to actinic rays or radiation, is decomposed to thereby generate an acid, and (C) a compound that when exposed to actinic rays or radiation, generates an acid, the compound being any of compounds of general formulae (1-1) and (1-2) below.
    Type: Application
    Filed: December 22, 2011
    Publication date: January 17, 2013
    Applicant: FUJIFILM CORPORATION
    Inventors: Kei Yamamoto, Mitsuhiro Fujita, Tomoki Matsuda
  • Patent number: 8354333
    Abstract: A semiconductor device and a method of fabricating a semiconductor device are disclosed. Embodiments of the invention use a photosensitive self-assembled monolayer to pattern the surface of a substrate into hydrophilic and hydrophobic regions, and an aqueous (or alcohol) solution of a dopant compound is deposited on the substrate surface. The dopant compound only adheres on the hydrophilic regions. After deposition, the substrate is coated with a very thin layer of oxide to cap the compounds, and the substrate is annealed at high temperatures to diffuse the dopant atoms into the silicon and to activate the dopant. In one embodiment, the method comprises providing a semiconductor substrate including an oxide surface, patterning said surface into hydrophobic and hydrophilic regions, depositing a compound including a dopant on the substrate, wherein the dopant adheres to the hydrophilic region, and diffusing the dopant into the oxide surface of the substrate.
    Type: Grant
    Filed: February 3, 2010
    Date of Patent: January 15, 2013
    Assignee: International Business Machines Corporation
    Inventors: Ali Afzali-Ardakani, Devendra K. Sadana, Lidija Sekaric
  • Publication number: 20130009286
    Abstract: A semiconductor chip includes stress-relief to mitigate the effects of differences in coefficients of thermal expansion (CTE) between a printed circuit board (PCB) and a semiconductor chip and a flip-chip package including the semiconductor chip. The semiconductor chip includes a stress-relief buffer coupling a bump and a semiconductor chip pad.
    Type: Application
    Filed: May 15, 2012
    Publication date: January 10, 2013
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Young-lyong Kim, Jong-ho Lee, Moon-gi Cho, Hwan-sik Lim, Sun-hee Park
  • Publication number: 20130009287
    Abstract: The invention notably concerns a method for depositing nano-objects on a surface. The method includes: providing a substrate with surface patterns on one face thereof; providing a transfer layer on said face of the substrate; functionalizing areas on a surface of the transfer layer parallel to said face of the substrate, at locations defined with respect to said surface patterns, such as to exhibit enhanced binding interactions with nano-objects; depositing nano-objects and letting them get captured at the functionalized areas; and thinning down the transfer layer by energetic stimulation to decompose the polymer into evaporating units, until the nano-objects reach the surface of the substrate. The invention also provides a semiconductor device which includes a substrate and nano-objects accurately disposed on the substrate.
    Type: Application
    Filed: September 7, 2012
    Publication date: January 10, 2013
    Applicant: International Business Machines Corporation
    Inventors: Urs T. Duerig, Felix Holzner, Cyrill Kuemin, Armin W. Knoll, Philip Paul, Heiko Wolf
  • Patent number: 8349990
    Abstract: Polymers for extreme ultraviolet and 193 nm photoresists are disclosed. The polymers comprise a photoacid generator (PAG) residue, an acid cleavable residue and a diacid joined by ester linkages. The polymers include a photoacid generating diol, a diacid and an acid table diol.
    Type: Grant
    Filed: February 20, 2009
    Date of Patent: January 8, 2013
    Assignee: The Research Foundation of State University of New York
    Inventors: Robert L. Brainard, Srividya Revuru
  • Patent number: 8350365
    Abstract: A hard implantation mask layer is formed on a semiconductor wafer. An etch mask layer is formed on the hard implantation mask layer and patterned. The hard implantation mask layer is etched to form a well implantation pattern and ions are implanted into the semiconductor wafer to form wells in the semiconductor wafer, in areas where the semiconductor wafer is not covered by the well implantation mask.
    Type: Grant
    Filed: January 13, 2011
    Date of Patent: January 8, 2013
    Assignee: Xilinx, Inc.
    Inventors: Yun Wu, Hong-Tsz Pan, Qi Lin, Bang-Thu Nguyen
  • Patent number: 8349746
    Abstract: Embodiments of the present invention pertain to the formation of microelectronic structures. Low k dielectric materials need to exhibit a dielectric constant of less than about 2.6 for the next technology node of 32 nm. The present invention enables the formation of semiconductor devices which make use of such low k dielectric materials while providing an improved flexural and shear strength integrity of the microelectronic structure as a whole.
    Type: Grant
    Filed: February 23, 2010
    Date of Patent: January 8, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Bo Xie, Alexandros T. Demos, Daemian Raj, Sure Ngo, Kang Sub Yim
  • Publication number: 20130001754
    Abstract: A method for etching features in a silicon layer is provided. A hard mask layer is formed over the silicon layer. A photoresist layer is formed over the hard mask layer. The hard mask layer is opened. The photoresist layer is stripped by providing a stripping gas; forming a plasma with the stripping gas by providing a high frequency RF power and a low frequency RF power, wherein the low frequency RF power has a power less than 50 watts; and stopping the stripping gas when the photoresist layer is stripped. The opening the hard mask layer and the stripping the photoresist layer are performed in a same chamber.
    Type: Application
    Filed: September 7, 2012
    Publication date: January 3, 2013
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Sangjun Cho, Tom Choi, Taejoon Han, Sean Kang, Prabhakara Gopaladasu, Bi-Ming Yen
  • Patent number: 8343881
    Abstract: A silicon dioxide layer is deposited onto a substrate using a process gas comprising BDEAS and an oxygen-containing gas such as ozone. The silicon dioxide layer can be part of an etch-resistant stack that includes a resist layer. In another version, the silicon dioxide layer is deposited into through holes to form an oxide liner for through-silicon vias.
    Type: Grant
    Filed: June 4, 2010
    Date of Patent: January 1, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Yong-Won Lee, Vladimir Zubkov, Mei-Yee Shek, Li-Qun Xia, Prahallad Iyengar, Sanjeev Baluja, Scott A Hendrickson, Juan Carlos Rocha-Alvarez, Thomas Nowak, Derek R Witty
  • Publication number: 20120329243
    Abstract: The invention relates to a process for fabricating a semiconductor that comprises providing a handle substrate comprising a seed substrate and a weakened sacrificial layer covering the seed substrate; joining the handle substrate with a carrier substrate; optionally treating the carrier substrate; detaching the handle substrate at the sacrificial layer to form the semiconductor structure; and removing any residue of the sacrificial layer present on the seed substrate.
    Type: Application
    Filed: June 18, 2012
    Publication date: December 27, 2012
    Applicant: SOITEC
    Inventors: Fabrice Letertre, Didier Landru
  • Patent number: 8338317
    Abstract: According to various embodiments, a method for processing a semiconductor wafer or die is provided including supplying particles to a plasma such that the particles are activated by the plasma and spraying the activated particles on the semiconductor wafer or die to generate a particle layer on the semiconductor wafer or die.
    Type: Grant
    Filed: April 6, 2011
    Date of Patent: December 25, 2012
    Assignee: Infineon Technologies AG
    Inventors: Manfred Engelhardt, Hans-Joerg Timme, Ivan Nikitn, Manfred Frank, Thomas Kunstmann, Werner Robl, Guenther Ruhl
  • Publication number: 20120319251
    Abstract: An integrated circuit structure includes a substrate and a metal pad over the substrate. A post-passivation interconnect (PPI) line is connected to the metal pad, wherein the PPI line includes at least a portion over the metal pad. A PPI pad is connected to the PPI line. A polymer layer is over the PPI line and the PPI pad, wherein the polymer layer has a thickness greater than about 30 ?m. An under-bump metallurgy (UBM) extends into an opening in the polymer layer and electrically connected to the PPI pad.
    Type: Application
    Filed: June 16, 2011
    Publication date: December 20, 2012
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Lawrence Chiang Sheu, Hao-Yi Tsai, Chien-Hsiun Lee
  • Patent number: RE44303
    Abstract: According to one embodiment of the disclosure, a method for passivating a circuit device generally includes providing a substrate having a substrate surface, forming an electrical component on the substrate surface, and coating the substrate surface and the electrical component with a first protective dielectric layer. The first protective dielectric layer is made of a generally moisture insoluble material having a moisture permeability less than 0.01 gram/meter2/day, a moisture absorption less than 0.04 percent, a dielectric constant less than 10, a dielectric loss less than 0.005, a breakdown voltage strength greater than 8 million volts/centimeter, a sheet resistivity greater than 1015 ohm-centimeter, and a defect density less than 0.5/centimeter2.
    Type: Grant
    Filed: August 2, 2012
    Date of Patent: June 18, 2013
    Assignee: Raytheon Company
    Inventors: John Bedinger, Michael A. Moore, Robert B Hallock, Kamal Tabatabaie, Thomas E. Kazior