Multiple Layers Patents (Class 257/635)
  • Patent number: 6252295
    Abstract: The adhesion of a silicon carbide containing film to a surface is enhanced by employing a transition film of silicon nitride, silicon dioxide and/or silicon oxynitride.
    Type: Grant
    Filed: June 19, 2000
    Date of Patent: June 26, 2001
    Assignee: International Business Machines Corporation
    Inventors: Donna R. Cote, Daniel C. Edelstein, John A. Fitzsimmons, Thomas H. Ivers, Paul C. Jamison, Ernest Levine
  • Patent number: 6246095
    Abstract: This invention includes a novel synthesis of a three-step process of growing, depositing and growing Si02 under low pressure, e.g., 0.2-10 Torr, to generate high quality, robust and reliable gate oxides for sub 0.5 micron technologies. The first layer, 1.0-3.0 nm is thermally grown for passivation of the Si-semiconductor surface. The second deposited layer 1.0-5.0 nm forms an interface with the first grown layer. During the third step of the synthesis densification of the deposited oxide layers occurs with a simultaneous removal of the interface traps at the interface and growth of a stress-modulated Si02 occurs at the Si/first grown layer interface in the presence of a stress-accommodating interface layer resulting in a planar and stress-reduced Si/SiO2 interface. The entire synthesis is done under low-pressure (e.g., 0.2-10 Torr) for slowing down the oxidation kinetics to achieve ultrathin sublayers and may be done in a single low-pressure furnace by clustering all three steps.
    Type: Grant
    Filed: September 3, 1998
    Date of Patent: June 12, 2001
    Assignee: Agere Systems Guardian Corp.
    Inventors: David C. Brady, Yi Ma, Pradip K. Roy
  • Patent number: 6246070
    Abstract: This invention improves TFT characteristics by making an interface between an active layer, especially a region forming a channel formation region and an insulating film excellent, and provides a semiconductor device provided with a semiconductor circuit made of a semiconductor element having uniform characteristics and a method of fabricating the same. In order to achieve the object, a gate wiring line is formed on a substrate or an under film, a gate insulating film, an initial semiconductor film, and an insulating film are formed into a laminate without exposing them to the atmosphere, and after the initial semiconductor film is crystallized by irradiation of infrared light or ultraviolet light (laser light) through the insulating film, patterning is carried out to obtain an active layer and a protection film each having a desired shape, and then, a resist mask is used to fabricate the semiconductor device provided with an LDD structure.
    Type: Grant
    Filed: August 20, 1999
    Date of Patent: June 12, 2001
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Setsuo Nakajima, Ritsuko Kawasaki
  • Patent number: 6236105
    Abstract: A semiconductor device includes an interlayer insulating film disposed between upper and lower wiring layers, the interlayer insulating film having a two-layered structure including an upper insulating film and a lower insulating film, the upper insulating film is formed in an ozone (O3) concentration higher than that of the lower insulating film. The interlayer insulating film may be composed, for example, of O3 tetra etyl ortho silicate (TEOS) boron phospho silicate glass (BPSG). The semiconductor device makes it possible to have the interlayer insulating film sufficiently planarized by a reflow process, and to prevent precipitation of impurities at a surface of the interlayer insulating film. Alternatively, the interlayer insulating film may have a multi-layered structure including a three or more of insulating films, in which a top insulating film is formed in a higher ozone concentration than that of the other insulating films.
    Type: Grant
    Filed: September 24, 1997
    Date of Patent: May 22, 2001
    Assignee: NEC Corporation
    Inventor: Atsushi Kariya
  • Patent number: 6223273
    Abstract: An electronic circuit package having a wiring substrate, at least two semiconductor chips and a bus line. All the semiconductor chips to be connected by means of the bus line are bare chip packaged on a wiring substrate, and the semiconductor chips and the wiring substrate are connected by wiring bonding between wire bonding pads formed on the semiconductor chips and the wiring substrate. The wiring substrate may be a multilayered substrate. Preferably, there is an insulating layer partially formed on the surface of the multilayer wiring substrate and a die bonding ground formed on the surface of the insulating layer, in order to use a portion of the multilayer wiring substrate under the die bonding ground as a wiring or a via hole region, and at least one of the semiconductor chips is formed on the die bonding ground.
    Type: Grant
    Filed: March 18, 1999
    Date of Patent: April 24, 2001
    Assignee: Hitachi, Ltd.
    Inventors: Nobuyasu Kanekawa, Hirokazu Ihara, Masatsugu Akiyama, Kiyoshi Kawabata, Hisayoshi Yamanaka, Tetsuya Okishima
  • Patent number: 6214713
    Abstract: A method for forming the gate electrode in an integrated circuit, in which a cap silicon nitride layer is deposited in a two step process to improve the condition of silicon nitride residue remaining on the surface of tungsten silicide. First, a layer of polysilicon and a layer of tungsten silicide are sequentially formed on the semiconductor substrate, subsequently, a thin film of silicon nitride is formed at a first temperature and a second silicon nitride is formed at a second temperature, then the pattern of the contact window of gate is defined and the first etching is performed to remove the second and the second silicon nitride, finally, the second etching is performed to remove the layers of polysilicon and tungsten silicide to form a gate electrode.
    Type: Grant
    Filed: October 19, 1998
    Date of Patent: April 10, 2001
    Assignees: ProMOS Technology, Inc., Mosel Vitelic Inc, Siemens AG
    Inventor: J. S. Shiao
  • Patent number: 6208029
    Abstract: A low dielectric material is applied, as by spinning on, over the passivation layer of a semiconductor chip to fill the gaps which may exist between the top layer metal lines, and thereby minimize the possibility of cross talk which might otherwise be present between those lines.
    Type: Grant
    Filed: March 31, 1997
    Date of Patent: March 27, 2001
    Assignee: Hyundai Electronics America
    Inventors: Derryl D. J. Allman, Kenneth P. Fuchs, Gayle W. Miller, Samuel C. Gioia
  • Patent number: 6208015
    Abstract: A reduced permittivity interlevel dielectric in a semiconductor device arranged between two levels of interconnect. The dielectric comprises a first dielectric layer preferably from a silane source deposited on a first level interconnect to form air gaps at midpoints between adjacent first interconnect structures, a second dielectric containing air gap trenches at spaced intervals across the second dielectric, and a third dielectric formed upon said second dielectric. A second interconnect level is formed on the third dielectric.
    Type: Grant
    Filed: January 27, 1998
    Date of Patent: March 27, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Basab Bandyopadhyay, H. Jim Fulford, Jr., Robert Dawson, Fred N. Hause, Mark W. Michael, William S. Brennan
  • Patent number: 6184572
    Abstract: An interlevel dielectric stack for use in semiconductor devices is provided. The interlevel stack includes a bottom adhesion layer, a middle layer composed of a fluorinated amorphous carbon film, and a top adhesion layer. The bottom and top adhesion layers are composed of a silicon carbide material containing hydrogen. The dielectric stack is subjected to rigorous adhesion and thermal testing. A single continuous process for depositing the dielectric stack in a high density plasma reactor is also provided.
    Type: Grant
    Filed: April 29, 1998
    Date of Patent: February 6, 2001
    Assignees: Novellus Systems, Inc., International Business Machines Corporation
    Inventors: Thomas Weller Mountsier, Michael J. Shapiro
  • Patent number: 6184571
    Abstract: A method and apparatus for endpointing a planarization process of a microelectronic substrate. In one embodiment, the microelectronic substrate can include a semiconductor base, a first material, such as an oxide, disposed on the base, a second material, such as a nitride, disposed on the first material to stiffen the first material, and an endpointing material, such as polysilicon, disposed on the second material. The endpointing material can have a hardness and/or a fracture resistance that is less than a hardness and/or a fracture resistance of the stiffening material and, in one embodiment, can have a coefficient of friction that is different than surrounding material of the microelectronic substrate so as to be detected when exposed to a planarizing medium.
    Type: Grant
    Filed: October 27, 1998
    Date of Patent: February 6, 2001
    Assignee: Micron Technology, Inc.
    Inventor: John T. Moore
  • Patent number: 6180965
    Abstract: In a static induction semiconductor device, particular a high power static induction semiconductor device, recessed portions 12 are formed in one surface of a silicon substrate 11 of one conductivity type, gate regions 13 of the other conductivity type are formed at bottoms of the recessed portions, recessed portions 14 are formed at portions surrounded by adjacent gate regions, cathode short-circuit regions 15 of the other conductivity type are formed as an island at bottoms of the recessed portions to be extended to the surface of the silicon substrate. Cathode regions 17 extending up to the surface of the silicon substrate in succession to channel regions 16 surrounded by the cathode regions 13 and cathode short-circuit regions 15, are formed. A cathode electrode substrate 21 is formed to be contacted with the cathode short-circuit regions 15 and cathode regions 17.
    Type: Grant
    Filed: July 2, 1998
    Date of Patent: January 30, 2001
    Assignee: NGK Insulators, Ltd.
    Inventor: Yoshio Terasawa
  • Patent number: 6180994
    Abstract: An array of sidewall-contacted antifuses is formed by a method that reduces the sensitivity of the array to masking alignment errors. The array includes a plurality of spaced-apart bit lines which are formed in a semiconductor material. Rows and columns of insulated contacts are formed on the semiconductor material such that each bit line is contacted a plurality of times by an insulated contact. In each row of contacts, each contact has an exposed sidewall. A plurality of word lines are formed over the contacts such that a word line is formed over each exposed sidewall in a row of exposed sidewalls. The word line includes a dielectric layer and a conductive layer.
    Type: Grant
    Filed: January 19, 1999
    Date of Patent: January 30, 2001
    Assignee: National Semiconductor Corporation
    Inventors: Albert Bergemont, Alexander Kalnitsky
  • Patent number: 6180997
    Abstract: A manufacturing method and a structure of a multi-layered dielectric layer for forming openings in the dielectric layers for improving integration of integrated circuits, capability of step coverage, and problems caused by a structure of overhang, in which oblique sidewalls of the openings in multi-layered dielectric layer can improve the step coverage in the following manufacturing process.
    Type: Grant
    Filed: July 15, 1999
    Date of Patent: January 30, 2001
    Assignee: Winbond Electronics Corp.
    Inventor: Chi-Fa Lin
  • Patent number: 6177714
    Abstract: In a laser beam make-link programmable semiconductor device, a pair of conductor strips are formed in the same level plane on a lower level insulator film formed on a semiconductor substrate, and are separated from each other in such a manner that opposing ends of the pair of conductor strips are separated by a predetermined distance smaller than a film thickness of the upper level insulator film. An upper level insulator film substantially transparent to a laser beam, is formed on the conductor strips. With this arrangement, even if a trimming laser beam has a small energy, the laser beam permeates through the upper level insulator film to reach and melt the opposing ends of the pair of conductor strips, with the result that the opposing ends of the pair of conductor strips are short-circuited.
    Type: Grant
    Filed: February 13, 1998
    Date of Patent: January 23, 2001
    Assignee: NEC Corporation
    Inventor: Nobutaka Nagai
  • Patent number: 6175146
    Abstract: In one aspect, the invention provides a method of forming an integrated circuitry memory device. In one preferred implementation, a conductive layer is formed over both memory array areas and peripheral circuitry areas. A refractory metal layer is formed over the conductive layer to provide conductive structure in both areas. According to a preferred aspect of this implementation, the conductive layer which is formed over the memory array provides an electrical contact for a capacitor container to be formed. According to another preferred aspect of this implementation, the conductive layer formed over the peripheral circuitry area constitutes a conductive line which includes at least some of the silicide. In another preferred implementation, the invention provides a method of forming a capacitor container over a substrate. According to a preferred aspect of this implementation, a conductive layer is elevationally interposed between an upper insulating layer and a lower conductive layer over the substrate.
    Type: Grant
    Filed: November 14, 1997
    Date of Patent: January 16, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Richard H. Lane, John K. Zahurak
  • Patent number: 6166427
    Abstract: A method for producing a dielectric layer in a semiconductor product includes two steps. The first step is forming a fluorinated layer (e.g. SiOF or fluorosilicate glass ("FSG")) which includes a material formed in part with fluorine. The second step is forming a fill layer (e.g. SiO.sub.2) above the fluorinated layer. The fill layer is substantially free of materials formed in part with fluorine. A top surface of the fill layer can be planarized. Surface treatments and oxide caps can be applied to the planarized surface to form fluorine barriers if part of the fluorinated layer is exposed to higher layers. Such a method, and a semiconductor device or integrated circuit manufactured according to the method, allow the dielectric constant of an inter-layer dielectric ("ILD") to be lowered while also minimizing the complexity and expense of the manufacturing process.
    Type: Grant
    Filed: January 15, 1998
    Date of Patent: December 26, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Richard J. Huang, John A. Iacoponi
  • Patent number: 6163067
    Abstract: A semiconductor apparatus and a process for fabricating the same according to the invention permit reduction in width of a wiring pattern of the semiconductor apparatus and in distance between wiring elements. A stopper film and an insulating film are provided on a substrate. The etching rate of RIE for the insulating film is greater than that for the stopper film. The stopper film and insulating film are formed on the insulating film. A pattern of the contact hole is formed in the stopper film. A wiring pattern is formed on the resist film. The insulating films are etched by RIE with the resist film and stopper film used as masks. Thus, a groove for formation of wiring and a contact hole for formation of a contact plug are simultaneously formed in a self-alignment manner.
    Type: Grant
    Filed: December 31, 1998
    Date of Patent: December 19, 2000
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masahiro Inohara, Hideki Shibata, Tadashi Matsuno
  • Patent number: 6157083
    Abstract: A semiconductor device is manufactured by forming a first fluorine doped plasma silicon oxide film having a high fluorine concentration on first metallic interconnections formed on a semiconductor substrate surface, forming, a second fluorine doped plasma silicon oxide film having a low fluorine concentrations on the first film, and carrying out chemical machine polishing (CMP) only on the second fluorine doped plasma silicon oxide film.
    Type: Grant
    Filed: May 28, 1997
    Date of Patent: December 5, 2000
    Assignee: NEC Corporation
    Inventors: Tatsuya Usami, Hiraku Ishikawa
  • Patent number: 6150029
    Abstract: A method of forming a film having enhanced reflow characteristics at low thermal budget is disclosed, in which a surface layer of material is formed above a base layer of material, the surface layer having a lower melting point than the base layer. In this way, a composite film having two layers is created. After reflow, the surface layer can be removed using conventional methods.
    Type: Grant
    Filed: September 5, 1997
    Date of Patent: November 21, 2000
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej Sandhu, Randhir P. S. Thakur
  • Patent number: 6147407
    Abstract: The invention provides a device containing a low .kappa., hydrogen-free a-C:F layer with good adhesion and thermal stability. It was found that the combination of desirable properties was attainable by a relatively easy process, as compared to processes that utilize gaseous sources, such as CVD. Specifically, the a-C:F layer is formed by sputter deposition, using only solid sources for the fluorine and carbon, and in the absence of any intentionally-added hydrogen-containing source. The sputtering is performed such that the layer contains 20 to 60 at. % fluorine, and also, advantageously, such that the a-C:F exhibits a bandgap of about 2.0 eV or greater. The a-C:F layer formed by the process of the invention exhibits a dielectric constant, at 1 MHz and room temperature, of 3.0 or less, advantageously 2.5 or less, and more advantageously 2.1 or less, along with being thermally stable up to at least 350.degree. C., advantageously 450.degree. C.
    Type: Grant
    Filed: March 27, 1998
    Date of Patent: November 14, 2000
    Assignee: Lucent Technologies Inc.
    Inventors: Sungho Jin, Ruichen Liu, Chien-Shing Pai, Wei Zhu
  • Patent number: 6144050
    Abstract: A semiconductor device having a barrier film comprising an extremely thin film formed of one or more monolayers each comprised of a two-dimensional array of metal atoms. In one exemplary aspect, the barrier film is used for preventing the diffusion of atoms of another material, such as a copper conductor, into a substrate, such as a semiconducting material or an insulating material. In one mode of making the semiconductor device, the barrier film is formed by depositing a precursor, such as a metal halide (e.g., BaF.sub.2), onto the substrate material, and then annealing the resulting film on the substrate material to remove all of the constituents of the temporary heteroepitaxial film except for a monolayer of metal atoms left behind as attached to the surface of the substrate. A conductor, such as copper, deposited onto the barrier film is effectively prevented from diffusing into the substrate material even when the barrier film is only one or several monolayers in thickness.
    Type: Grant
    Filed: August 20, 1998
    Date of Patent: November 7, 2000
    Assignee: The United States of America as represented by the Secretary of the Navy
    Inventors: Michael F. Stumborg, Francisco Santiago, Tak Kin Chu, Kevin A. Boulais
  • Patent number: 6124622
    Abstract: A device isolation film is formed on one major surface of a semiconductor substrate so as to surround a device formation region. The device isolation film consists of a first layer made of silicon dioxide, a second layer made of polycrystalline silicon, and a third layer made of silicon dioxide. In a transistor formed in the device formation region, PN junction ends of source and drain regions are in contact with the first layer, and a gate electrode and source and drain electrodes are formed within an opening of the device isolation film. The top surfaces of the gate electrode and the source and drain electrodes are substantially flush with the surface of the third layer of the device isolation film. A gate electrode wiring layer and a source/drain electrode wiring layer for one of the source and drain electrodes are formed on the surface of the third layer.
    Type: Grant
    Filed: November 28, 1995
    Date of Patent: September 26, 2000
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Toshiaki Tsutsumi
  • Patent number: 6114747
    Abstract: A wafer structure and method of forming a wafer structure with all of the dielectric material and conducting material films removed from the outer periphery of the wafer in order to protect the dielectric and conducting films from damage due to wafer handling, storage, or clamping. The dielectric or conducting material is removed from the wafer edge using wafer edge exposure or edge bead rinse methods. The wafer edge exposure method is carried out at the same time the dielectric or conducting layer is being patterned.
    Type: Grant
    Filed: August 20, 1999
    Date of Patent: September 5, 2000
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Zin-Chein Wei, Yuh-Jier Mii
  • Patent number: 6107657
    Abstract: A semiconductor device forming a capacitor through an interlayer insulating layer on a semiconductor substrate on which an integrated circuit is formed. This semiconductor device has an interlayer insulating layer with moisture content of 0.5 g/cm.sup.3 or less, which covers the capacitor in one aspect, and has a passivation layer with hydrogen content of 10.sup.21 atoms/cm.sup.3 or less, which covers the interconnections of the capacitor in other aspect. By thus constituting, deterioration of the capacitor dielectric can be prevented which brings about the electrical reliability of the ferroelectric layer or high dielectric layer.
    Type: Grant
    Filed: May 4, 1998
    Date of Patent: August 22, 2000
    Assignee: Matsushita Electronics Corporation
    Inventors: Koji Arita, Eiji Fujii, Yasuhiro Shimada, Yasuhiro Uemoto, Toru Nasu, Akihiro Matsuda, Yoshihisa Nagano, Atsuo Inoue, Taketoshi Matsuura, Tatsuo Otsuki
  • Patent number: 6093956
    Abstract: In one aspect, the invention includes a method of semiconductive wafer processing comprising forming a silicon nitride layer over a surface of a semiconductive wafer, the silicon nitride layer comprising at least two portions, one of the at least two portions generating a compressive force against the other of the at least two portions, and the other of the at least two portions generating a tensile force against the one of the at least two portions.
    Type: Grant
    Filed: June 18, 1998
    Date of Patent: July 25, 2000
    Assignee: Micron Technology, Inc.
    Inventors: John T. Moore, Scott J. DeBoer, Mark Fischer
  • Patent number: 6091131
    Abstract: The propagation of a crack from the surface of the dielectric layer of an integrated circuit, through to the underlying circuit elements, is prevented by controlling the interface between the outermost, dielectric layer or layers and the inner layer or layers of the integrated circuit construction. The interface is weakened so that a crack that encounters the interface is caused to propagate in a horizontal manner, along the interface, preventing propagation of the crack in a direction that would be harmful to the manufactured article. This is preferably accomplished with multiple layers of material, each of which is made capable of redirecting (deflecting) the crack. Deflection of the crack, and arrest of the deflected crack along the interface, is made possible by controlling the fracture resistance of the interface.
    Type: Grant
    Filed: April 28, 1998
    Date of Patent: July 18, 2000
    Assignee: International Business Machines Corporation
    Inventors: Robert F. Cook, Eduardo Garcia, Nancy A. Greco, Stephen E. Greco, Ernest N. Levine
  • Patent number: 6084305
    Abstract: A semiconductor device structure and method for producing a shaped etch-front during an etching process. In one embodiment, the present invention is comprised of a first layer of material which is disposed above a contact layer. In this embodiment, the first layer of material has a first etch rate. Next, the present invention deposits a second layer of material above at least a portion of the first layer of material. The second layer of material has a second etch rate which is faster than the first etch rate. Additionally, in the present invention, the first layer of material and the second layer of material have a sloped interfacial topography. The sloped interfacial topography of the present invention creates shaped etch-front during the etching of an opening extending through the first layer of material and the second layer of material.
    Type: Grant
    Filed: October 6, 1997
    Date of Patent: July 4, 2000
    Assignee: VLSI Technology, Inc.
    Inventor: Ian Robert Harvey
  • Patent number: 6072225
    Abstract: An interconnect in a microelectronic device is formed by forming a first mesa on a substrate. A first insulation layer is then formed on the substrate, the first insulation layer covering the first mesa to define a step at an edge thereof. A second mesa is formed on the first insulation layer adjacent the step, the second mesa being lower than the step. A second insulation layer is formed on the substrate, covering the second mesa and forming a step in the second insulation layer overlying the step in the first insulation layer. A spun-on-glass (SOG) layer on the second insulation layer, and then is planarized to expose a first portion of the second insulation layer at the step in the second insulation layer and to expose a second portion of the second insulation layer overlying the second mesa, thereby defining a planarized SOG region between the step and the second mesa.
    Type: Grant
    Filed: September 15, 1997
    Date of Patent: June 6, 2000
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seung-hyun Chang, Suck-tae Kim, Young-hun Park
  • Patent number: 6072190
    Abstract: A micro contact structure and a probe card to be used in testing performance of a semiconductor integrated circuit device formed on a semiconductor wafer have improved contact characteristics. The contact structure includes a micro contact pin having electric conductivity formed on one end of a beam which is movable in a vertical direction, and a piezoelectric element formed on the beam to drive the beam in the vertical direction. The beam is made of silicon on the surface of which is formed of a conductive thin film, and the micro contact pin has a pyramid shape. The piezoelectric element is a bimorph plate mounted on an upper surface of the beam or both upper and lower surfaces of the beam.
    Type: Grant
    Filed: November 18, 1996
    Date of Patent: June 6, 2000
    Assignee: Advantest Corp.
    Inventors: Takashi Watanabe, Minako Yoshida
  • Patent number: 6072213
    Abstract: An integrated circuit fabrication process is provided for forming a transistor having an ultra short channel length. First and second masks are formed upon a conductive gate layer, wherein the second mask has a second lateral dimension less than a first lateral dimension of the first mask. The second mask is used to pattern a gate conductor from the conductive gate layer such that the gate conductor has an ultra narrow lateral dimension. Lightly doped drain impurity areas are formed self-aligned to sidewall surfaces of the gate conductor. Spacers are formed laterally adjacent the sidewall surfaces of the gate conductor, and source and drain impurity areas are formed self-aligned to sidewall surfaces of the spacers.
    Type: Grant
    Filed: April 30, 1998
    Date of Patent: June 6, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark I. Gardner, Mark C. Gilmer
  • Patent number: 6072227
    Abstract: A method and apparatus for depositing a low dielectric constant film by reaction of an organo silane compound and an oxidizing gas at a low RF power level from 20-200 W. The oxidized organo silane film has excellent barrier properties for use as a liner or cap layer adjacent other dielectric layers. The oxidized organo silane film can also be used as an etch stop or an intermetal dielectric layer for fabricating dual damascene structures. The oxidized organo silane films also provide excellent adhesion between different dielectric layers. A preferred oxidized organo silane film is produced by reaction of methyl silane, CH.sub.3 SiH.sub.3, and nitrous oxide, N.sub.2 O, at a pulsed RF power level from 50-200 W during 10-30% of the duty cycle.
    Type: Grant
    Filed: July 13, 1998
    Date of Patent: June 6, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Wai-Fan Yau, David Cheung, Shin-Puu Jeng, Kuowei Liu, Yung-Cheng Yu
  • Patent number: 6069400
    Abstract: A semiconductor device having a multi-level interconnection structure is disclosed which includes a metal interconnect wire (2) formed on a surface of an interlayer dielectric film (7) serving as a base; a high-stress TEOS oxide film (5), an SOG film (3), and a low-stress TEOS oxide film (6) which are deposited as interlayer dielectric films; and a contact hole (4), thereby decreasing stresses applied from the interlayer dielectric films to the metal interconnect wire to prevent metal hillocks in the contact hole.
    Type: Grant
    Filed: May 1, 1997
    Date of Patent: May 30, 2000
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Masatoshi Kimura, Keiichi Higashitani, Takio Ohno
  • Patent number: 6060765
    Abstract: A semiconductor device is provided which is improved to be capable of stably forming a contact hole. A stopper film is provided on a gate electrode. An interlayer insulating film is provided on a semiconductor substrate to cover the gate electrode. The interlayer insulating film and the stopper film are penetrated by a first contact hole which exposes a surface of the gate electrode. The interlayer insulating film is provided with a second contact hole for exposing a surface of an impurity diffusion layer. The stopper film is formed of a material higher in etch selectivity than the interlayer insulating film.
    Type: Grant
    Filed: July 8, 1998
    Date of Patent: May 9, 2000
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Atsushi Maeda
  • Patent number: 6060734
    Abstract: In the manufacture of a field effect transistor which can improve the breakdown voltage between a gate and a drain and can also prevent a gate lag, an oxide film is formed or wet cleaning is carried out over the semiconductor surface of an inter-source-gate region while a nitride film is formed or dry cleaning is carried out over the semiconductor surface of an inter-gate-drain region, in order that surface traps in the semiconductor surface of the inter-gate-drain region, which is not covered with electrode metal, is greater in number than those in the semiconductor surface of the inter-source-gate region.
    Type: Grant
    Filed: June 15, 1998
    Date of Patent: May 9, 2000
    Assignee: NEC Corporation
    Inventor: Kazuaki Kunihiro
  • Patent number: 6038133
    Abstract: A circuit component built-in module of the present invention includes an insulating substrate formed of a mixture comprising 70 wt % to 95 wt % of an inorganic filler and a thermosetting resin, a plurality of wiring patterns formed on at least a principal plane of the insulating substrate, a circuit component arranged in an internal portion of the insulating substrate and electrically connected to the wiring patterns, and an inner via formed in the insulating substrate for electrically connecting the plurality of wiring patterns. Thus, a highly reliable circuit component built-in module having high-density circuit components can be obtained.
    Type: Grant
    Filed: November 20, 1998
    Date of Patent: March 14, 2000
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Seiichi Nakatani, Kouichi Hirano
  • Patent number: 6037651
    Abstract: A semiconductor device with a multi-level insulator formed on a semiconductor substrate is provided, which enables to restraint of impurity atoms doped into a material contacted with the insulator from diffusing into the insulator. The multi-level structured insulator contains a first dielectric film formed on the substrate and a second dielectric film formed on the first dielectric film. The first dielectric film is thicker than the second dielectric film so that an interface of the first and second dielectric films exists at a level higher than the central level of the insulator. The first dielectric film is made of an oxide of a semiconductor constituting the substrate. The second dielectric film is made of a nitride or oxynitride of the semiconductor constituting the substrate. The insulator preferably contains only the first and second dielectric films to have a two-level structure.
    Type: Grant
    Filed: May 10, 1996
    Date of Patent: March 14, 2000
    Assignee: NEC Corporation
    Inventor: Eiji Hasegawa
  • Patent number: 6018184
    Abstract: A semiconductor processing method is provided for making contact openings. It includes depositing several insulative layers and performing an anisotropic etch. One layer is a conformal oxide covering the contact area and adjacent structures. A second layer is a breadloafed oxide deposited over the contact area and adjacent structures. A third layer is a doped oxide deposited over the two lower layers. The anisotropic etch is performed through the oxide layers to the contact area located on a lower substrate. The etch is selectively more rapid in the third oxide than in the two other oxides. The breadloafed oxide provides additional protection and reduces the risk of etch-through to conductive structures adjacent the contact area. An alternate embodiment replaces the two lowest oxide layers by a breadloafed nitride layer. In this embodiment, the anisotropic etch is selectively more rapid in oxides than in nitrides.
    Type: Grant
    Filed: January 22, 1998
    Date of Patent: January 25, 2000
    Assignee: Micron Technology, Inc.
    Inventor: David S. Becker
  • Patent number: 6001709
    Abstract: A modified LOCOS isolation process for semiconductor devices is disclosed. First, a shielding layer is formed overlying a semiconductor substrate. The shielding layer is then patterned to form an opening that exposes a portion of the semiconductor substrate for forming a device isolation region. Next, oxygen ions are implanted with a tilt angle into the semiconductor substrate to form a doped region extending to the area under the margin of the shielding layer. A thermal oxidation process is then performed to form a field oxide layer on the semiconductor substrate. Since the oxidation rate of the area under the margin of the shielding layer is increased by the implanted oxygen ions, the bird's beak effect shown in conventional LOCOS process can be eliminated. After that, the shielding layer is removed to complete the fabricating process of this invention.
    Type: Grant
    Filed: April 20, 1998
    Date of Patent: December 14, 1999
    Assignee: Nanya Technology Corporation
    Inventors: Da-Zen Chuang, Yi-Yu Shi, Po-Sheng Chang
  • Patent number: 5990541
    Abstract: A semiconductor device comprising: a silicon nitride film formed on a semiconductor substrate having a first wiring layer; a first silicon oxide film formed on said silicon nitride film; and a second silicon oxide film formed on said first silicon oxide film by way of an atmospheric pressure CVD process using tetraethyl orthosilicate, siloxane, or disilazane as a source material.
    Type: Grant
    Filed: May 1, 1995
    Date of Patent: November 23, 1999
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Satoshi Saito, Toyohiro Harazono
  • Patent number: 5986344
    Abstract: In a photo-lithographic step for providing contact points to lower layers of a semiconductor device, an anti-reflective coating (ARC) layer, such as FLARE 2.0.TM., is used to provide a good contact points to an underlayer. After the contact points are made, the anti-reflective coating layer is removed, with the removal being performed in a same step in which a photo-resist is removed from the semiconductor device. In an alternative configuration, the ARC layer remains in the semiconductor device after the fabrication process is competed, thereby acting as an interlayer dielectric during operation of the semiconductor device.
    Type: Grant
    Filed: April 14, 1998
    Date of Patent: November 16, 1999
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ramkumar Subramanion, Suzette K. Pangrle, John G. Pellerin, Ernesto A. Gallardo
  • Patent number: 5986329
    Abstract: The present invention provides a method and system for depositing an oxide layer onto a semiconductor device during fabrication by using a deposition chamber, the method comprising the steps of providing a temperature of less than approximately 450 degrees Celsius in the deposition chamber; allowing the semiconductor wafer to soak up the temperature of less than approximately 450 degrees Celsius for approximately 30 seconds; and depositing a layer of oxide onto a semiconductor wafer, wherein a thickness of the oxide layer is not greater than approximately 200 Angstroms.
    Type: Grant
    Filed: March 7, 1997
    Date of Patent: November 16, 1999
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Minh Van Ngo
  • Patent number: 5981993
    Abstract: A semiconductor memory device and method of fabricating the same includes a first insulation layer and a first conductive layer formed on a substrate; conductive sidewall spacers protruding upwardly on the sides of the first conductive layer; a second insulation layer formed on the substrate and covering the conductive sidewall spacers; a second conductive layer, a third insulation layer, a third conductive layer, and a fourth insulation layer sequentially formed on the second insulation layer; a contact hole formed through the second and third conductive layers and the second through fourth insulation layers; insulative sidewall spacers formed on the sidewalls of the contact hole; and a fourth conductive layer formed in the contact hole so as to be in contact with the first conductive layer.
    Type: Grant
    Filed: November 6, 1997
    Date of Patent: November 9, 1999
    Assignee: LG Semicon Co., Ltd.
    Inventor: Won-Ju Cho
  • Patent number: 5977635
    Abstract: A method for forming a multi-level conductive structure on an integrated circuit. The method includes forming a first conductive layer 108 and forming a first dielectric layer 112 above the first conductive layer. The method further includes forming a second conductive layer 302 above the first dielectric layer. There is also included etching through the second conductive layer and at least partially into the first dielectric layer to form a trench 706 in the second conductive layer and the first dielectric layer, thereby removing at least a portion of the dielectric layer and forming a first conductive line 503 and a second conductive line 505 in the second conductive layer. Further, the method includes depositing a low capacitance material 908 into the trench. The low capacitance material represents a material having a dielectric constant lower than a dielectric constant of the first dielectric layer.
    Type: Grant
    Filed: September 29, 1997
    Date of Patent: November 2, 1999
    Assignee: Siemens Aktiengesellschaft
    Inventors: Dirk Tobben, Peter Weigand
  • Patent number: 5970366
    Abstract: In a method of forming a silicon substrate, a gettering film is formed on a bottom surface of a silicon substrate. An oxygen ion implantation into a top surface of the silicon substrate is carried out at a substrate temperature in the range of 400.degree. C.-700.degree. C. The gettering film is removed from the silicon substrate. The silicon substrate is subjected to a heat treatment at a temperature of not less than 1300.degree. C. for causing a reaction of oxygen and silicon to form a silicon oxide film in the silicon substrate after the gettering film is removed.
    Type: Grant
    Filed: July 16, 1997
    Date of Patent: October 19, 1999
    Assignee: NEC Corporation
    Inventor: Kensuke Okonogi
  • Patent number: 5929510
    Abstract: An electronic integrated circuit which includes at least one of RF, microwave, digital and analog components connected in a desired circuit. The integrated circuit includes a substrate of a conductive material having on a surface thereof a body of a dielectric material. The dielectric body is formed of a plurality of layers of the dielectric material bonded together. A plurality of strips of a conductive material are on the surfaces of the layers of the body to form RF, analog and digital components. Discrete electronic devices are mounted on the body and connected in the circuit. Vias of a conductive material extend through the various layers of the body to electrically connect the various strips of conductive material on the layers of the body.
    Type: Grant
    Filed: October 30, 1997
    Date of Patent: July 27, 1999
    Assignees: Sarnoff Corporation, Sharp Kabushiki Kaisha
    Inventors: Bernard Dov Geller, Aly E. Fathy, Stewart M. Perlow, Ashok Naryan Prabhu, Ellen Schwartz Tormey, Valerie Ann Pendrick, Israel Haim Kalish
  • Patent number: 5904576
    Abstract: After wiring patterns are formed on an insulating film covering the surface of a substrate, an insulating film such as plasma CVD SiO.sub.2 is formed covering the wiring patterns. A hydrogen silsesquioxane resin film with a flat surface is formed by spin coating or the like on the insulating film. Thereafter, the resin film is changed into a pre-ceramic silicon oxide film by performing heat treatment in an inert gas atmosphere. On this pre-ceramic silicon oxide film, an insulating film such as plasma enhanced CVD SiO.sub.2 film is formed and another wiring layer is formed on the insulating film. This method of forming a multi-layer wiring structure allows an interlayer insulating film to be planarized, and improves a yield of wiring formation.
    Type: Grant
    Filed: October 30, 1997
    Date of Patent: May 18, 1999
    Assignee: Yamaha Corporation
    Inventors: Takahisa Yamaha, Yushi Inoue
  • Patent number: 5905298
    Abstract: An insulation structure is formed in a high-density plasma environment by depositing a first SiO.sub.2 film containing a substantial amount of F without a substrate bias, followed by depositing a second SiO.sub.2 film containing a reduced amount of F with a substantial substrate bias, and further followed by depositing a third SiO.sub.2 film containing a substantial amount of F without a substrate bias.
    Type: Grant
    Filed: May 29, 1997
    Date of Patent: May 18, 1999
    Assignee: Fujitsu Limited
    Inventor: Hirofumi Watatani
  • Patent number: 5894159
    Abstract: A semiconductor device advantageously has first and second oxidized silicon insulating layers formed on the surface of a substrate. A first oxidized silicon insulating layer is formed through a low temperature process and a second oxidized silicon insulating layer may be formed at a higher manufacturing process temperature. Advantageously, the first oxidized silicon insulating layer has a lower voltage resistance than the second oxidized silicon insulating layer.
    Type: Grant
    Filed: May 12, 1997
    Date of Patent: April 13, 1999
    Assignee: Sony Corporation
    Inventors: Hiroshi Mori, Toshiyuki Sameshima
  • Patent number: 5892269
    Abstract: A semiconductor device including an insulation film superior in both planarization and water resistance is obtained. In this semiconductor device, a first insulation film including impurities is formed on a conductive layer. A film is formed between the first insulation film and the conductive layer for substantially preventing impurities from entering the conductive layer. Water resistance of the first insulation film is improved since impurities are included in the first insulation film. By using an insulation film superior in planarization as the first insulation film, a first insulation film superior in both planarization and water resistance can be obtained. The film provided between the first insulation film and the conductive layer prevents the impurities of the first insulation film from entering the conductive layer. Therefore, reduction in the reliability of the conductive layer can be prevented.
    Type: Grant
    Filed: February 26, 1997
    Date of Patent: April 6, 1999
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Yasunori Inoue, Hideki Mizuhara
  • Patent number: 5877541
    Abstract: A method is provided for improving the adhesion between a photoresist layer and a dielectric, and an integrated circuit formed according to the same. A conformal dielectric layer is formed over the integrated circuit. An interlevel dielectric layer is formed over the conformal dielectric layer. The interlevel dielectric layer is doped such that the doping concentration allows the layer to reflow while partially inhibiting the adhesion of the doped layer to photoresist at an upper surface of the doped layer. An undoped dielectric layer is formed over the doped dielectric layer. A photoresist layer is formed and patterned over the undoped dielectric layer which adheres to the undoped dielectric layer. The undoped dielectric, the interlevel dielectric and the conformal dielectric layers are etched to form an opening exposing a portion of an underlying conductive region.
    Type: Grant
    Filed: August 4, 1997
    Date of Patent: March 2, 1999
    Assignee: STMicroelectronics, Inc.
    Inventors: John C. Sardella, Alexander Kalnitsky, Charles R. Spinner III, Robert Carlton Foulks, Sr.