Multiple Layers Patents (Class 257/635)
  • Patent number: 6515320
    Abstract: A gate insulating film is provided on a channel region. A gate electrode includes a lower part and an upper part. The lower part has a lower surface and sides, and the upper part has a lower surface. The lower surface of the lower part contacts the gate insulating film. The upper part is longer than the lower part in a lengthwise direction of a gate electrode. The first insulating film is interposed between the lower surface of the upper part of the gate electrode and a semiconductor substrate. The first insulating film surrounds at least the sides of the lower part of the gate electrode, which face drain and source regions, and having parts interposed between the lower surface of the upper part of the gate electrode and the semiconductor substrate and made thicker than the other parts.
    Type: Grant
    Filed: November 8, 2001
    Date of Patent: February 4, 2003
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Atsushi Azuma, Satoshi Matsuda
  • Publication number: 20030013319
    Abstract: A semiconductor structure with selective doping includes a monocrystalline silicon substrate, an amorphous oxide material overlying the monocrystalline silicon substrate, a monocrystalline perovskite oxide material overlying the amorphous oxide material, at least one monocrystalline compound semiconductor material overlying the monocrystalline perovskite oxide material, and a transistor in the at least one monocrystalline compound semiconductor material and including active regions having different conductivity levels under substantially identical bias conditions.
    Type: Application
    Filed: July 10, 2001
    Publication date: January 16, 2003
    Applicant: MOTOROLA, INC.
    Inventors: John E. Holmes, Kurt W. Eisenbeiser, Rudy M. Emrick, Steven James Franson, Stephen Kent Rockwell
  • Patent number: 6504250
    Abstract: A low dielectric material is applied, as by spinning on, over the passivation layer of a semiconductor chip to fill the gaps which may exist between the top layer metal lines, and thereby minimize the possibility of cross talk which might otherwise be present between those lines.
    Type: Grant
    Filed: October 23, 2000
    Date of Patent: January 7, 2003
    Assignee: Hyundai Electronics America Inc.
    Inventors: Derryl D. J. Allman, Kenneth P. Fuchs, Gayle W. Miller, Samuel C. Gioia
  • Patent number: 6504223
    Abstract: A contact structure for establishing electrical connection with contact targets. The contact structure is formed of a contact substrate and a plurality of contactors. The contactor has a tip portion at one end of the contactor to contact with the contact target, a base portion at another end of the contactor which is inserted in a through hole provided on the contact substrate in such a way that an end of the contactor functions as a contact pad for electrical connection at a surface of the contact substrate, and a spring portion provided between the tip portion and the base portion which produces a contact force when the contactor is pressed against the contact target.
    Type: Grant
    Filed: September 16, 2000
    Date of Patent: January 7, 2003
    Assignee: Advantest Corp.
    Inventors: Yu Zhou, David Yu, Robert Edward Aldaz, Theodore A. Khoury
  • Patent number: 6504249
    Abstract: A low dielectric material is applied, as by spinning on, over the passivation layer of a semiconductor chip to fill the gaps which may exist between the top layer metal lines, and thereby minimize the possibility of cross talk which might otherwise be present between those lines.
    Type: Grant
    Filed: April 26, 2000
    Date of Patent: January 7, 2003
    Assignee: Hyundai Electronics America Inc.
    Inventors: Derryl D. J. Allman, Kenneth P. Fuchs, Gayle W. Miller, Samuel C. Gioia
  • Publication number: 20020185711
    Abstract: Within both a fabrication and a method for fabricating the fabrication there is provided a second layer of a second material separated on one of its sides from a first layer of a first material by a first transition layer of a transition material. The second layer of the second material is also separated on the other of its sides from a third layer of the first material by a second transition layer of the transition material. Within both the fabrication and the method for fabricating the fabrication, the transition material provides a continuous transition between the first material and the second material.
    Type: Application
    Filed: June 7, 2001
    Publication date: December 12, 2002
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Shih-Chi Lin
  • Patent number: 6492712
    Abstract: An oxide for use in integrated circuits is substantially stress-free both in the bulk and at the interface between the substrate and the oxide. The interface is planar and has a low interface trap density (Nit). The oxide has a low defect density and may have a thickness of less than 1.5 nm or less.
    Type: Grant
    Filed: June 20, 2000
    Date of Patent: December 10, 2002
    Assignee: Agere Systems Guardian Corp.
    Inventors: Yuanning Chen, Sundar Srinivasan Chetlur, Sailesh Mansinh Merchant, Pradip Kumar Roy
  • Patent number: 6483173
    Abstract: Low k dielectrics such as black diamond have a tendency to delaminate from the edges of a silicon wafer, causing multiple problems, including blinding of the alignment mark. This problem has been overcome by inserting a layer of silicon nitride between the low k layer and the substrate. A key requirement is that said layer of silicon nitride be under substantial compressive stress (at least 5×109 dynes/cm2). In the case of a layer of black diamond, on which material the invention is particularly focused, a nucleating layer is also inserted between the silicon nitride and the black diamond. A process for laying down the required layers is described together with an example of applying the invention to a dual damascene structure.
    Type: Grant
    Filed: December 31, 2001
    Date of Patent: November 19, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Lain-Jong Li, Shwangming Jeng, Syun-Ming Jang
  • Publication number: 20020167073
    Abstract: A semiconductor device includes a semiconductor substrate having a main circuit part including a predetermined active element and the like on a silicon substrate, an interconnection transmitting to the active element an externally supplied input signal or transmitting an output signal to be supplied to any external unit, an opening for input/output of the externally supplied signal or the signal to be supplied to any external unit to and from the interconnection, an insulating protection film for protecting the interconnection and an underlying portion thereof, a conductive metal film arranged above the main circuit part, and an aluminum oxide film arranged to cover the conductive metal film. This structure can preclude the main circuit part from being discerned by visual observation, a visible light microscope and an IR microscope, and accordingly imitation, copy and altering of the main circuit part by other people can be prevented.
    Type: Application
    Filed: December 21, 1999
    Publication date: November 14, 2002
    Inventor: Hironori Matsumoto
  • Publication number: 20020163062
    Abstract: A structure/method for reducing the stress between a dielectric, passivation layer and a metallic structure comprising coating the metallic structure with a low stress modulus buffer material, and forming the dielectric passivation layer covering the low stress modulus buffer material. The low stress modulus buffer material is composed of a layer of a polymeric material selected from at least one of the group consisting of a hydrogen/alkane SQ (SilsesQuioxane) resin, polyimide, and a polymer resin. The dielectric, passivation layer is composed of at least one layer of a material selected from at least one of the group consisting of silicon oxide and silicon nitride. A protective layer is formed over the dielectric, passivation layer. The low stress modulus buffer material has a thermal coefficient of expansion between that of the metallic structure and that of the dielectric passivation layer.
    Type: Application
    Filed: February 26, 2001
    Publication date: November 7, 2002
    Applicant: International Business Machines Corporation
    Inventors: Ping-Chuan Wang, Robert Daniel Edwards, John C. Malinowski, Vidhya Ramachandran, Steffen Kaldor
  • Patent number: 6469329
    Abstract: A solid state image sensing device comprises a cell area, located at a semiconductor substrate, including photoelectric conversion portions and charge transfer portions and a peripheral circuit area formed around the cell area located at the semiconductor substrate. The peripheral circuit area includes a first p+-type semiconductor region and an insulating film with a relatively large thickness formed on the first p+-type semiconductor region. The cell area further includes a second p+-type semiconductor region and an insulating film with a relatively small thickness formed on the second p+-type semiconductor region. The majority of the insulating film with the relatively large thickness is formed by means of a CVD process.
    Type: Grant
    Filed: June 6, 2000
    Date of Patent: October 22, 2002
    Assignee: NEC Corporation
    Inventors: Keisuke Hatano, Yasutaka Nakashiba
  • Patent number: 6462402
    Abstract: A method and apparatus for endpointing a planarization process of a microelectronic substrate. In one embodiment, the microelectronic substrate can include a semiconductor base, a first material, such as an oxide, disposed on the base, a second material, such as a nitride, disposed on the first material to stiffen the first material, and an endpointing material, such as polysilicon, disposed on the second material. The endpointing material can have a hardness and/or a fracture resistance that is less than a hardness and/or a fracture resistance of the stiffening material and, in one embodiment, can have a coefficient of friction that is different than surrounding material of the microelectronic substrate so as to be detected when exposed to a planarizing medium.
    Type: Grant
    Filed: February 6, 2001
    Date of Patent: October 8, 2002
    Assignee: Micron Technology, Inc.
    Inventor: John T. Moore
  • Publication number: 20020140057
    Abstract: A high frequency semiconductor device includes wiring layers which are formed above a semiconductor substrate and in which transmission lines are formed by combining with a ground plate having a potential fixed at the ground potential, at least one crossing portion in which the wiring layers mutually cross, with insulating interlayers provided therebetween, and at least one separation electrode being selectively provided on one of the insulating interlayers, the at least one separation electrode having a potential fixed at the ground potential. Accordingly, in the high frequency semiconductor device, the electrical interference between two crossing wiring layers is prevented and transmission loss is suppressed.
    Type: Application
    Filed: February 21, 2002
    Publication date: October 3, 2002
    Applicant: Fujitsu Quantum Devices Limited
    Inventors: Osamu Baba, Yutaka Mimino
  • Patent number: 6448631
    Abstract: Disclosed is a semiconductor standard cell architecture with local interconnect. The standard cell architecture includes a semiconductor substrate having diffusion regions that are designated for source and drain regions of a functional circuit. The standard cell also includes a polysilicon layer that is patterned to define gate electrodes and interconnections of the semiconductor standard cell architecture. In addition, the standard cell includes a local interconnect metallization layer that is patterned into a plurality of local interconnect metallization lines that are configured to be disposed over the semiconductor substrate and are further configured to substantially interconnect the source and drain regions and gate electrodes to define the functional circuit. The plurality of local interconnect metallization lines are further designed to incorporate local interconnect metallization pins that are connection points for interconnecting the functional circuit to another functional circuit.
    Type: Grant
    Filed: September 23, 1998
    Date of Patent: September 10, 2002
    Assignee: Artisan Components, Inc.
    Inventors: Dhrumil Gandhi, Lyndon C. Lim
  • Patent number: 6448653
    Abstract: A low dielectric material is applied, as by spinning on, over the passivation layer of a semiconductor chip to fill the gaps which may exist between the top layer metal lines, and thereby minimize the possibility of cross talk which might otherwise be present between those lines.
    Type: Grant
    Filed: October 23, 2000
    Date of Patent: September 10, 2002
    Inventors: Derryl D. J. Allman, Kenneth P. Fuchs, Gayle W. Miller, Samuel C. Gioia
  • Publication number: 20020123228
    Abstract: In method for manufacturing an integrated circuit improves the reliability of thermosonic bonds formed to attach a gold bond wire to an aluminum interconnect pad, where a pad opening in the integrated circuit is on the order of 60 microns. In the method, a reactive ion etch (RIE) passivation etch is used which does not include a, more corrosive sulfur hexa-fluoride to remove the SiO2 passivation layer above the pad. Instead, the RIE uses argon as the carrier gas, carbon tetrafluoride (CF4) and trifluoromethane (CHF3) as active etchants, and oxygen (O2) to reduce the residual halide contaminant in the aluminum pad. Further, a thin titanium layer is deposited beneath the aluminum pad layer to improve adhesion of the aluminum pad to underlying layers of the semiconductor integrated circuit. The aluminum pad layer is made very thin, or less than approximately 8000 Å, to limit Kirkendall voiding.
    Type: Application
    Filed: March 2, 2001
    Publication date: September 5, 2002
    Inventors: Richard C. Smoak, James E. Morris, Margaret C. Tait, Kevin O'Dwyer
  • Patent number: 6429151
    Abstract: In one aspect, the invention includes a method of semiconductive wafer processing comprising forming a silicon nitride layer over a surface of a semiconductive wafer, the silicon nitride layer comprising at least two portions, one of said at least two portions generating a compressive force against the other of the at least two portions, and the other of the at least two portions generating a tensile force against the one of the at least two portions.
    Type: Grant
    Filed: July 19, 2000
    Date of Patent: August 6, 2002
    Assignee: Micron Technology, Inc.
    Inventors: John T. Moore, Scott J. DeBoer, Mark Fischer
  • Patent number: 6420777
    Abstract: A method for reactive ion etching of SiO2 and an etch stop barrier for use in such an etching is provided. A silicon nitride (SixNy) barrier having a Six to Ny ratio (x:y) of less than about 0.8 and preferably the stoichiometric amount of 0.75 provides excellent resilience to positive mobile ion contamination, but poor etch selectivity. However, a silicon nitride barrier having a ratio of Six to Nx (x:y) of 1.0 or greater has excellent etch selectivity with respect to SiO2 but a poor barrier to positive mobile ion contamination. A barrier of silicon nitride is formed on a doped silicon substrate which barrier has two sections. One section has a greater etch selectivity with respect to silicon dioxide than the second section and the second section has a greater resistance to transmission of positive mobile ions than the first section. One section adjacent the silicon substrate has a silicon to nitrogen ratio of less than about 0.8.
    Type: Grant
    Filed: February 26, 1998
    Date of Patent: July 16, 2002
    Assignee: International Business Machines Corporation
    Inventors: Chung Hon Lam, Eric Seung Lee, Francis Roger White
  • Patent number: 6417092
    Abstract: An amorphous material containing silicon, carbon, hydrogen and nitrogen, provides a barrier/etch stop layer for use with low dielectric constant insulating layers and copper interconnects. The amorphous material is prepared by plasma assisted chemical vapor deposition (CVD) of alklysilanes together with nitrogen and ammonia. Material that at the same time has a dielectric constant less than 4.5, an electrical breakdown field about 5 MV/cm, and a leakage current less than or on the order of 1 nA/cm2 at a field strength of 1 Mv/cm has been obtained. The amorphous material meets the requirements for use as a barrier/etch stop layer in a standard damascene fabrication process.
    Type: Grant
    Filed: April 5, 2000
    Date of Patent: July 9, 2002
    Assignee: Novellus Systems, Inc.
    Inventors: Sanjeev Jain, Somnath Nag, Gerrit Kooi, M. Ziaul Karim, Kenneth P. MacWilliams
  • Publication number: 20020086553
    Abstract: A corrosion resistant component of semiconductor processing equipment such as a plasma chamber includes a fullerene containing surface and process for manufacturing thereof.
    Type: Application
    Filed: December 29, 2000
    Publication date: July 4, 2002
    Inventors: Robert J. O'Donnell, Christopher C. Chang, John E. Daugherty
  • Publication number: 20020079558
    Abstract: A method including introducing a dielectric layer over a substrate between an interconnection line and the substrate, the dielectric layer comprising a plurality of alternating material layers; and patterning an interconnection to the substrate. An apparatus comprising a substrate comprising a plurality of devices formed thereon; and an interlayer dielectric layer comprising a base layer and a cap layer, the cap layer comprising a plurality of alternating material layers overlying the substrate.
    Type: Application
    Filed: December 27, 2000
    Publication date: June 27, 2002
    Inventors: Sanjay S. Natarajan, Sean W. King, Khaled A. Elamrawi
  • Patent number: 6410462
    Abstract: A method of producing a low-k interconnect dielectric material, using PECVD processes and readily available precursors to produce carbon-doped silicon oxide (SiOC). SiOC dielectric materials are produced using conventional silane based gas precursors, of silane and nitrous oxide, along with hydrocarbon gas. The use of methane and acetylene in combination with silane based gas precursors is provided. Methane produces network terminating species, specifically methyl, which replaces oxygen in an Si—O bond within a silicon dioxide network. This increases the volume, reduces the density and the dielectric constant of the material. Acetylene acts as a possible source of carbon and as a modifier, reducing or eliminating undesirable bridging species, such as carbene, or enhancing desireable network terminating species, such as methyl. Following implantation, the material is annealed to reduce the—OH and to potentially further lower the dielectric constant.
    Type: Grant
    Filed: May 12, 2000
    Date of Patent: June 25, 2002
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Hongning Yang, David Russell Evans, Sheng Teng Hsu
  • Publication number: 20020074625
    Abstract: The invention relates to cured dielectric films and a process for their manufacture which are useful in the production of integrated circuits. Dual layered dielectric films are produced in which a lower layer comprises a non-silicon containing organic polymer and an upper layer comprises an organic, silicon containing polymer. Such films are useful in the manufacture of microelectronic devices such as integrated circuits (IC's). In one aspect the upper layer silicon containing polymer has less than 40 Mole percent carbon containing substituents, and in another aspect it has at least approximately 40 Mole percent carbon containing substituents.
    Type: Application
    Filed: February 13, 2002
    Publication date: June 20, 2002
    Inventors: Shi-Qing Wang, Jude Dunne, Lisa Figge
  • Patent number: 6407443
    Abstract: A method for forming a platen useful for forming nanoscale wires for device applications comprises: (a) providing a substrate having a major surface; (b) forming a plurality of alternating layers of two dissimilar materials on the substrate to form a stack having a major surface parallel to that of the substrate; (c) cleaving the stack normal to its major surface to expose the plurality of alternating layers; and (d) etching the exposed plurality of alternating layers to a chosen depth using an etchant that etches one material at a different rate than the other material to thereby provide the surface with extensive strips of indentations and form the platen useful for molding masters for nano-imprinting technology. The pattern of the platen is then imprinted into a substrate comprising a softer material to form a negative of the pattern, which is then used in further processing to form nanowires.
    Type: Grant
    Filed: June 20, 2001
    Date of Patent: June 18, 2002
    Assignee: Hewlett-Packard Company
    Inventors: Yong Chen, R. Stanley Williams
  • Publication number: 20020066941
    Abstract: In a semiconductor device, a wiring line layer is formed on a substrate. A dielectric constant film is formed on the wiring line layer. An upper protection film is formed on an entire portion of the dielectric constant film. An opening portion is formed through the upper protection film and the dielectric constant film to the wiring line layer. A conductor buried portion formed into the opening portion. The dielectric constant film has a smaller dielectric constant value than those of a silicon oxide film and silicon nitride film. Also, a side protection film may be formed on all side portions of the opening portion.
    Type: Application
    Filed: May 14, 1999
    Publication date: June 6, 2002
    Inventor: HIDEMITSU AOKI
  • Patent number: 6388326
    Abstract: The present invention provides a bonding pad on a semiconductor chip such that peeling of bonding pads during interconnection in the packaging process is avoided. The bonding pad is used to electrically connect an integrated circuit in the semiconductor chip with an external circuit. The semiconductor chip comprises a first dielectric layer positioned in a predetermined area on the surface of the semiconductor chip, a second dielectric layer positioned on the surface of the semiconductor chip outside the predetermined area wherein the first dielectric layer is harder than the second dielectric layer, and a bonding pad positioned on the first dielectric layer for electrically connecting anintegrated circuit (IC) in the semiconductor chip with an external circuit.
    Type: Grant
    Filed: March 8, 2001
    Date of Patent: May 14, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Hermen Liu, Yimin Huang
  • Patent number: 6388337
    Abstract: A technique for post-processing a conventionally completed semiconductor device having a final passivation layer and bond pads exposed through the final passivation layer. The technique includes forming a protective film over the final passivation layer and exposed bond pads of the semiconductor device, and thereafter performing post-processing of the completed semiconductor device. Post-process structures, such as charge-coupled devices, can be formed above the protective film during this post-processing. Subsequent to the post-processing, the protective film is selectively etched to again expose the bond pads.
    Type: Grant
    Filed: September 28, 2000
    Date of Patent: May 14, 2002
    Assignee: International Business Machines Corporation
    Inventors: James George Michael, Jeffrey Scott Miller, Gary Dale Pittman, Rosemary Ann Previti-Kelly
  • Patent number: 6376911
    Abstract: A final passivation structure for a semiconductor device having conductive lines formed on a surface of the semiconductor device, comprising a planarized layer covering the surface and also covering the conductive lines, and a diffusion barrier covering the planarized layer. Alternately, the planarized layer may partially cover the conductive lines.
    Type: Grant
    Filed: August 23, 1995
    Date of Patent: April 23, 2002
    Assignees: International Business Machines Corporation, Siemens Aktiengesellschaft, Toshiba Corporation
    Inventors: James Gardner Ryan, Alexander Mitwalsky, Katsuya Okumura
  • Patent number: 6369423
    Abstract: The present invention intends to provide a semiconductor device capable of realizing a thin gate stack and the manufacturing method thereof. A gate cap layer and/or a protection insulating film (an etching stopper) has a plurality of insulating materials such as oxide and nitride stacked on each other. With this structure, an insulating layer having an etching rate lower than that of the interlayer insulating layer, for example, can be exposed during the etching of the interlayer insulating layer, and the gate stack can be formed thin and the aspect ratio of the contact hole formed in the device can be reduced. The present invention can realize a thin gate stack in such a manner, and thus is suitable for a SAC used in a DRAM.
    Type: Grant
    Filed: March 3, 1998
    Date of Patent: April 9, 2002
    Assignees: Kabushiki Kaisha Toshiba, International Business Machines Corporation
    Inventors: Tokuhisa Ohiwa, Jeffrey P. Gambino, Katsuya Okumura, Jun-ichi Shiozawa
  • Patent number: 6358862
    Abstract: An exemplary implementation of the invention is a process for forming passivation protection on a semiconductor assembly by the steps of: forming a layer of oxide over patterned metal lines having sidewalls; forming a first passivation layer of silicon nitride over the layer of oxide such that the first passivation layer of silicon nitride resides along the sidewalls of metal lines and pinches off a gap between the metal lines; performing a facet etch to remove material from the edges of the first passivation layer of silicon nitride and re-deposits some of removed material across a pinch-off junction; forming a second passivation layer of silicon nitride on the first passivation layer of silicon nitride.
    Type: Grant
    Filed: September 2, 1999
    Date of Patent: March 19, 2002
    Assignee: Micron Technology, Inc
    Inventors: Philip J. Ireland, James E. Green
  • Publication number: 20020030247
    Abstract: A method for decreasing CHC degradation is provided. The method includes providing a semiconductor device (10) having at least one metal layer (28) completed. Then, a planarizing dielectric layer (30) is added to the semiconductor device (10). The semiconductor device (10) is heated in a hydrogen rich environment until hydrogen completely saturates the semiconductor device (10).
    Type: Application
    Filed: November 20, 2001
    Publication date: March 14, 2002
    Inventors: David L. Larkin, George E. Harris, William D. Smith
  • Patent number: 6351031
    Abstract: A semiconductor device includes a multi-flexible substrate and semiconductor chips mounted thereon. The multi-flexible substrate is configured such that organic insulation substrate layers and filmy adhesive layers are alternatively stacked together and wiring layers formed therein are interconnected by means of vias. Each of the vias consisting of a via-hole which is formed penetrating both the organic insulation substrate layers and the filmy adhesive layers and a metal via member 26 which is provided in the via-hole and made of an identical material. A method of manufacturing the multi-flexible substrate for the semiconductor device is also disclosed.
    Type: Grant
    Filed: March 22, 2000
    Date of Patent: February 26, 2002
    Assignee: Fujitsu Limited
    Inventors: Makoto Iijima, Masaru Nukiwa, Seiji Ueno, Muneharu Morioka
  • Patent number: 6348725
    Abstract: A method and apparatus for depositing a low dielectric constant film by reaction of an organosilicon compound and an oxidizing gas at a constant RF power level from about 10 W to about 200 W or a pulsed RF power level from about 20 W to about 500 W. Dissociation of the oxidizing gas can be increased prior to mixing with the organosilicon compound, preferably within a separate microwave chamber, to assist in controlling the carbon content of the deposited film. The oxidized organosilane or organosiloxane film has good barrier properties for use as a liner or cap layer adjacent other dielectric layers. The oxidized organosilane or organosiloxane film may also be used as an etch stop and an intermetal dielectric layer for fabricating dual damascene structures. The oxidized organosilane or organosiloxane films also provide excellent adhesion between different dielectric layers.
    Type: Grant
    Filed: February 10, 1999
    Date of Patent: February 19, 2002
    Assignee: Applied Materials, Inc.
    Inventors: David Cheung, Wai-Fan Yau, Robert P. Mandal, Shin-Puu Jeng, Kuo-Wei Liu, Yung-Cheng Lu, Michael Barnes, Ralf B. Willecke, Farhad Moghadam, Tetsuya Ishikawa, Tze Wing Poon
  • Publication number: 20020000644
    Abstract: An insulating layer having a BPSG layer, a semiconductor device and methods for fabricating them. After preparing an oxidizing atmosphere using an oxygen gas, a first seed layer is formed with a tetraethylorthosilicate (TEOS) and the oxygen gas. Thereafter, a second seed layer, used to form an insulating layer capable of controlling an amount of a boron, is formed by means of using a triethylborate (TEB), the TEOS and the oxygen gas. Then, the insulating layer having a BPSG layer is formed using the TEB, a triethylphosphate, the TEOS and an ozone gas. About 5.25 to 5.75% by weight of the boron and about 2.75 to 4.25% by weight of the phosphorous are added to the insulating layer.
    Type: Application
    Filed: March 8, 2001
    Publication date: January 3, 2002
    Inventors: Jin-Ho Jeon, Byoung-Deog Choi, Jong-Seung Yi, Tae-Wook Seo
  • Publication number: 20010045622
    Abstract: In a semiconductor integrated circuit having a functional macro, plural first and second power lines extending over the functional macro and supplying first-level and second-level voltages respectively to the functional macro are electrically connected through plural first and second power terminal patterns to plural third and fourth power lines extending over the semiconductor integrated circuit in the second direction and supplying the first-level and second-level voltages respectively to the semiconductor integrated circuit.
    Type: Application
    Filed: May 25, 2001
    Publication date: November 29, 2001
    Applicant: NEC Corporation
    Inventor: Minoru Iwamoto
  • Patent number: 6323530
    Abstract: An optical semiconductor device includes a semiconductor substrate having an active layer, a semiconductor mesa stripe formed on the semiconductor substrate, a dummy mesa stripe formed on the semiconductor substrate, an insulating layer formed to fill up a gap between the semiconductor mesa stripe and the dummy mesa stripe, a main electrode formed on the semiconductor mesa stripe, and an extension electrode formed on top surfaces of the insulating layer and the dummy mesa stripe. The extension electrode is connected to the main electrode.
    Type: Grant
    Filed: July 13, 2000
    Date of Patent: November 27, 2001
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Munechika Kubota
  • Publication number: 20010042903
    Abstract: An inter-metal dielectric (IMD) layer structure and its forming method are disclosed. The IMD layer structure is formed between a first conducting layer and a second conducting layer and includes a first dielectric layer overlying the first conducting layer, a glass layer overlying the first dielectric layer, an etching stop layer overlying the glass layer, and a second dielectric layer overlying the etching stop layer under the second conducting layer. The etching rate of the etching stop layer is relatively low so that it can prevent the glass layer etched out. Therefore, a long-time etching can be used to obtain a better through hole profile.
    Type: Application
    Filed: May 4, 1999
    Publication date: November 22, 2001
    Inventors: CHI-FA LIN, WEI-TSU TSENG, MIN-SHINN FENG
  • Patent number: 6320243
    Abstract: A defect removable semiconductor element and the manufacturing method thereof are provided with a protective layer covering fuses exposed at a part of the redundancy memory cell region, the layer being thinner than the one covering the main memory cell region, so that a predetermined fuse is cut off for removing a defect without damaging adjacent fuses even if the amount of energy of laser beam to be applied is greater and the size of the spot to be focused is bigger, thereby improving operational conditions in the energy of the laser beam to be applied and the size of a spot to be focused and the operational reliability in removing a defect.
    Type: Grant
    Filed: November 5, 1999
    Date of Patent: November 20, 2001
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hee-Geun Jeong, Yong-Shik Kim
  • Patent number: 6320246
    Abstract: The invention includes a semiconductor wafer assembly, comprising: a) a semiconductor wafer substrate; and b) alternating first and second layers over the semiconductor wafer substrate, the alternating layers comprising at least one first layer and at least one second layer, the first layer comprising a first material and the second layer comprising a second material, the second material comprising atoms selected from the group consisting of yttrium, lanthanides, actinides, calcium, magnesium and mixtures thereof.
    Type: Grant
    Filed: April 24, 2000
    Date of Patent: November 20, 2001
    Assignee: Micron Technology, Inc.
    Inventor: Terry Gilton
  • Publication number: 20010030351
    Abstract: This invention provides an in situ low temperature, two step deposition HDP-CVD process separated by a cooldown period, for forming an inter-metal dielectric passivation layer for an integrated circuit structure. Said process mitigating metal line defects such as distortion or warping caused by excessive heat generated during the etching/deposition process.
    Type: Application
    Filed: June 18, 2001
    Publication date: October 18, 2001
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY
    Inventors: Ying-Lang Wang, Chun Ching Tsai, Jowei Dun, Hung-Ju Chien
  • Patent number: 6303977
    Abstract: A structure and method for forming a hermetically sealed semiconductor chip having an active and a passive surface and four edge sides, each edge side having only a single plane; said active surface having an integrated circuit including multiple deposited layers and a plurality of contact pads, said contact pads having bondable and non-corrodible surface; said deposited layers having exposed portions at said side edges; a protective overcoat impermeable to moisture overlying said integrated circuit; and a continuous sealant layer impermeable to moisture overlying all area of said four side edges, whereby said edge sides are sealed and said chip is rendered hermetic.
    Type: Grant
    Filed: December 2, 1999
    Date of Patent: October 16, 2001
    Assignee: Texas Instruments Incorporated
    Inventors: Walter H. Schroen, Judith S. Archer, Robert E. Terrill
  • Patent number: 6300252
    Abstract: A method is provided for etching fuse windows through a passivation layer and at least two inter-metal dielectric layers that are deposited on top of a fuse when the fuse is embedded in an insulating material including a top layer of silicon nitride on a semi-conducting substrate. The method can be carried out by a two-step etching process in which an opening is first etched for the fuse window through a passivation layer by a first etchant that has low selectivity to the passivation material, and then the opening is etched through the IMD layers in a second etching process by a second etchant which has high selectivity to the silicon nitride etch-stop layer. The two-step etching process can be easily controlled so that the quality and yield for the resulting fuse windows can be improved.
    Type: Grant
    Filed: October 1, 1999
    Date of Patent: October 9, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd
    Inventors: Shulan Ying, Shu-Chi Hung
  • Patent number: 6297521
    Abstract: A substantially continuously graded composition silicon oxycarbide (SiOC) antireflective coating (ARC) or antireflective layer (ARL) is interposed between a photoresist layer and an underlying substrate. The ARC matches an optical impedance at the interface between the ARC and photoresist. The optical impedance decreases (absorptivity increases) substantially continuously, in the ARC in a direction away from the interface between the ARC and the photoresist. The ARC composition is graded from SiOC, at its interface with the photoresist, to SiC or Si, in a direction away from the photoresist. Reflections at the ARC-photoresist interface are substantially eliminated. Substantially all incident light, including ultraviolet (UV) and deep ultraviolet (DUV) light, is absorbed in the ARC. As a result, substantially no light reaches or is reflected from the underlying substrate. Photolithographic limitations such as swing effect and reflective notching are reduced.
    Type: Grant
    Filed: August 14, 1998
    Date of Patent: October 2, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn
  • Publication number: 20010017401
    Abstract: A method and apparatus for endpointing a planarization process of a microelectronic substrate. In one embodiment, the microelectronic substrate can include a semiconductor base, a first material, such as an oxide, disposed on the base, a second material, such as a nitride, disposed on the first material to stiffen the first material, and an endpointing material, such as polysilicon, disposed on the second material. The endpointing material can have a hardness and/or a fracture resistance that is less than a hardness and/or a fracture resistance of the stiffening material and, in one embodiment, can have a coefficient of friction that is different than surrounding material of the microelectronic substrate so as to be detected when exposed to a planarizing medium.
    Type: Application
    Filed: February 6, 2001
    Publication date: August 30, 2001
    Inventor: John T. Moore
  • Patent number: 6278166
    Abstract: The present invention provides a MOS structure and fabrication process for fabricating the substrate structure whereby a thin layer of silicon oxynitride, acting as a reaction barrier layer, and a tantalum pentoxide layer are formed in the gate region for controlling induction of electric charge in the gate region and thereby control the flow of current through the device. The high dielectric characteristic of the tantalum pentoxide facilitates blocking the flow of current in accordance with the applied voltage, and which in an off-state of the device, minimizes the gate leakage current. The silicon oxynitride barrier is formed by using a pre-deposition process of annealing the silicon substrate surface in a nitric oxide (NO) environment. The anneal may be a rapid thermal anneal (RTA) process for 10 seconds to 5 minutes at 400° C. to 1000° C. in the nitric oxide NO ambient. The annealing process produces the thin silicon oxynitride layer needed for depositing the tantalum pentoxide layer.
    Type: Grant
    Filed: December 12, 1997
    Date of Patent: August 21, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Robert B. Ogle, Jr.
  • Publication number: 20010009295
    Abstract: An intermetal insulating film containing at least silicon atoms, oxygen atoms and carbon atoms with the number ratio of oxygen atom to silicon atom being 1.5 or more and the number ratio of carbon atom to silicon atom being 1 to 2, and having a film thickness shrinkage at a time of oxidation of 14% or less is very low in dielectric constant, high in selectivity against resist etching and can be used without using a silicon oxide protective film in a semiconductor device.
    Type: Application
    Filed: January 17, 2001
    Publication date: July 26, 2001
    Inventors: Takeshi Furusawa, Daisuke Ryuzaki, Noriyuki Sakuma, Shuntaro Machida, Kenji Hinode, Ryou Yoneyama
  • Publication number: 20010008693
    Abstract: A multilayer dielectric film structure includes a pair or plurality of materials at least one being a polymer and the other of high index of refraction inorganic material (compared to the polymer) at the wavelengths of interest. The structure is fabricated by a combination of layering techniques, one of which is used to create a layer of the polymer, the other being used to deposit the inorganic component. The assembly process yields a structure of alternating polymer and inorganic layers of high index of refraction (compared to air). The structure preferably will reflect light within a certain frequency range of any polarization and at a continuum of angles of incidence ranging from normal to oblique. In a particular embodiment of the invention, the structure includes alternating layers of a polymer, e.g., polystyrene and Tellurium.
    Type: Application
    Filed: March 12, 1999
    Publication date: July 19, 2001
    Inventors: YOEL FINK, EDWIN L. THOMAS, JOHN D. JOANNOPOULOS, CHIPING CHEN, JOSHUA N. WINN, SHANHUI FAN
  • Patent number: 6261945
    Abstract: A copper-interconnect, low-K dielectric integrated circuit has reduced corrosion of the interconnect when the crackstop next to the kerf is also used as the primacy barrier to oxygen diffusion through the dielectric, with corresponding elements of the crackstop being constructed simultaneously with the circuit interconnect elements; e.g. horizontal interconnect elements have a corresponding structure in the crackstop and vias between interconnect layers have corresponding structures in the crackstop.
    Type: Grant
    Filed: February 10, 2000
    Date of Patent: July 17, 2001
    Assignee: International Business Machines Corporation
    Inventors: Henry A. Nye, III, Vincent J. McGahay, Kurt A. Tallman
  • Patent number: 6255737
    Abstract: A semiconductor device with a package size close to its chip size is, apart from a stress absorbing layer, such as to effectively absorb thermal stresses. A semiconductor device (150) has a semiconductor chip provided with electrodes (158), a resin layer (152) forming a stress relieving layer provided on the semiconductor chip, wiring (154) formed from the electrodes (158) to over the resin layer (152), and solder balls (157) formed on the wiring (154) over the resin layer (152); the resin layer (152) is formed so as to have a depression (152a) in the surface, and the wiring (154) is formed so as to pass over the depression (152a).
    Type: Grant
    Filed: October 21, 1998
    Date of Patent: July 3, 2001
    Assignee: Seiko Epson Corporation
    Inventor: Nobuaki Hashimoto
  • Publication number: 20010005037
    Abstract: A semiconductor device includes a F-doped interlayer insulation film and a high-refractive index insulation film having a refractive index higher than a refractive index of the F-doped interlayer insulation film, such that the high-refractive index insulation film is disposed at least one of a top side and a bottom side of the F-doped interlayer insulation film.
    Type: Application
    Filed: February 2, 2001
    Publication date: June 28, 2001
    Applicant: Fujitsu Limited
    Inventor: Katsumi Kakamu