Multiple Layers Patents (Class 257/635)
  • Patent number: 6717240
    Abstract: In a semiconductor device fabrication method, a first low dielectric constant film having a specific dielectric constant of k less than 3 (k<3) is formed over a wafer so that an edge position of the first low dielectric constant film aligns with a first position along the circumference of the wafer. Then, a first protection layer having a gas permeability lower than that of the first low dielectric constant film is formed over the first low dielectric constant film and the wafer so that an edge of the first protection layer aligns with a second position that is located outside the first position. Then, a second low dielectric constant film having a specific dielectric constant of k less than 3 (k<3) is formed over the first protection layer so that an edge of the second low dielectric constant film is located at the first position.
    Type: Grant
    Filed: April 18, 2003
    Date of Patent: April 6, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Kazuyuki Higashi
  • Publication number: 20040061201
    Abstract: The present invention describes a structure having a multilayer stack of thin films, the thin films being a low-dielectric constant material, the thin films having pores, and a method of forming such a structure.
    Type: Application
    Filed: September 12, 2003
    Publication date: April 1, 2004
    Inventor: Ebrahim Andideh
  • Patent number: 6713846
    Abstract: A new multilayer dielectric film for improving dielectric constant and thermal stability of gate dielectrics is provided. The multilayer dielectric film comprises a first layer formed of a metal oxide material having a high dielectric constant, and a second layer formed on the first layer. The second layer is formed of a metal silicate material having a dielectric constant lower than the dielectric constant of the first layer. A semiconductor transistor incorporating the multilayer dielectric film is also provided.
    Type: Grant
    Filed: January 25, 2002
    Date of Patent: March 30, 2004
    Assignee: Aviza Technology, Inc.
    Inventor: Yoshihide Senzaki
  • Patent number: 6709983
    Abstract: The invention includes a semiconductor processing method in which a semiconductor substrate is exposed to reactive ion etching conditions. The reactive ion etching conditions comprise subjecting exposed surfaces of the substrate to a gas having components therein which are reactive with the exposed surfaces. A total concentration of the reactive components within the gas is less than 4.5%, by volume. In particular aspects, the total concentration of the reactive components can be less than 2% by volume, or less than 1% by volume. Exemplary reactive components are fluorine-containing components, such as NF3.
    Type: Grant
    Filed: May 7, 2002
    Date of Patent: March 23, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Kevin J. Torek, Satish Bedge
  • Patent number: 6703715
    Abstract: The semiconductor device comprises an interconnection layer 14 formed on a substrate 10, a cap insulation film 22 formed on the upper surface of the interconnection layer 14, and a sidewall insulation film which is formed on the side walls of the interconnection layer 14 and the cap insulation film 22 and which includes a larger layer number of insulation films 24, 26 28 covering the side wall of the interconnection layer 14 at the side wall of the cap insulation film 22 than a layer number of insulation films 24, 26 at the side wall of the cap insulation film 22. Accordingly, the sidewall insulation film can be thickened at the side wall of the interconnection layer 14, whereby a parasitic capacitance between the interconnection layer 14 and the electrodes 32 adjacent to the interconnection layer 14 through the sidewall insulation film can be low.
    Type: Grant
    Filed: August 23, 2001
    Date of Patent: March 9, 2004
    Assignee: Fujitsu Limited
    Inventor: Yuji Yokoyama
  • Patent number: 6689665
    Abstract: A method for forming shallow trench isolation (STI) features to reduce or avoid divot formation at STI trench corners including providing a shallow trench isolation (STI) feature included in a semiconductor process surface the STI feature including an anisotropically etched trench formed into a semiconductor substrate extending through a thickness including a thermally grown silicon dioxide layer overlying the semiconductor substrate and a metal nitride hardmask layer overlying the thermally grown silicon dioxide layer said anisotropically etched trench being back filled with a silicon dioxide filling material; removing excess silicon dioxide filling material overlying the hardmask layer according to a chemical mechanical polishing (CMP) process; removing the hard mask layer according to a wet chemical etching process; and, re-growing the thermally grown silicon dioxide layer including re-oxidizing to at least an originally formed thermally grown silicon dioxide layer thickness.
    Type: Grant
    Filed: October 11, 2002
    Date of Patent: February 10, 2004
    Assignee: Taiwan Semiconductor Manufacturing, Co., Ltd
    Inventors: Syun-Ming Jang, Mo-Chiun Yu
  • Patent number: 6680530
    Abstract: In packaging integrated circuits for high speed (multi-gigabit) applications, chip carriers having signal paths between the substrate board and the chips at the top with a number of evenly divided vertical steps produces frequency properties that are sufficiently good that it is possible to run signals through the package, rather than by means of connectors attached to the top surface of the carrier.
    Type: Grant
    Filed: August 12, 2002
    Date of Patent: January 20, 2004
    Assignee: International Business Machines Corporation
    Inventors: Edward R. Pillai, Warren D. Dyckman
  • Patent number: 6670709
    Abstract: A first HSQ film composed of a Si—O-based film with a low dielectric constant is formed on a first wiring via a protective insulation film, and the surface of this first HSQ film is reformed to form a first SRO layer. Then, a second HSQ film is formed on this first SRO layer, and the surface of the second HSQ film is reformed to form a second SRO layer. Next, a via-hole is formed within a predetermined region, which reaches the protective insulation film on the first wiring. Then, wiring trenches forming a second wiring are formed within predetermined regions of the second HSQ film and the second SRO film while using the first SRO film as an etching stopper film. Thereafter, the protective insulation film at the bottom of the via-hole is etched and removed, and the wiring trenches and the via-hole are embedded with a conductive film. Then, the conductive film on the second SRO layer is removed while using the second SRO layer as a CMP stopper film.
    Type: Grant
    Filed: February 9, 2001
    Date of Patent: December 30, 2003
    Assignee: NEC Electronics Corporation
    Inventor: Tatsuya Usami
  • Patent number: 6670710
    Abstract: A semiconductor device is provided with a first insulating film, a first wiring layer formed in the first insulating film, a second insulating film formed above the first wiring layer and the first insulating film, the second insulating film including a low dielectric constant film, a second wiring layer formed in the second insulating film and coupled to the first wiring layer through a first connection section, and a third insulating film formed above the second wiring layer and the second insulating film and serving as one of an interlayer insulating film and a passivation film, and at least one of the first and third insulating films being one of a film formed mainly of SiON, a film formed mainly of SiN, and a laminated film being the films formed mainly of SiON or SiN respectively.
    Type: Grant
    Filed: July 31, 2001
    Date of Patent: December 30, 2003
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Noriaki Matsunaga
  • Patent number: 6664071
    Abstract: A device for the detection of electromagnetic radiation, wherein the device has (i) a photoactive layer of a semiconductor having a band gap of greater than 2.5 eV, (ii) a dye applied to the semiconductor, and (iii) a charge transport layer comprising a hole conductor material, where the hole conductor material is preferably solid and amorphous.
    Type: Grant
    Filed: September 26, 2000
    Date of Patent: December 16, 2003
    Assignee: Nanogen Recognomics GmbH
    Inventors: Norbert Windhab, Hans-Ulrich Hoppe, Donald Lupo
  • Publication number: 20030205784
    Abstract: A semiconductor device and a method for manufacturing the same, wherein a gate electrode structure is formed on a surface of a semiconductor substrate. Next, a gate poly oxide (GPOX) layer is deposited on a surface of the gate electrode structure and on the semiconductor substrate. Then, the surface of the semiconductor substrate is cleaned to remove any residue and the GPOX layer remaining on the semiconductor substrate. Next, an etch stopper is formed on the surface of the gate electrode structure and on the semiconductor substrate. Last, a high-density plasma (HDP) oxide layer is deposited on the etch stopper. The semiconductor device and method for manufacturing the same are capable of preventing bubble defects.
    Type: Application
    Filed: May 30, 2003
    Publication date: November 6, 2003
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Woo-chan Jung
  • Patent number: 6639320
    Abstract: An apparatus, system and method for fabricating a wafer utilizing a dual damascene process are described. A wafer-in-process, having conductive plugs within a first dielectric layer, a hard mask over the first dielectric layer, vias in a second dielectric layer which overlies the hard mask, and a photoresist material within the vias is further processed by a photolithographic device having transparent portions and radiant energy inhibiting portions. The photolithographic device is registered to the wafer-in-process to prevent radiant energy from being directly transmitted into the photoresist material overlaying the vias. This prevents the exposure of a portion of the photoresist material at a lower portion of the vias, thus protecting the hard mask layer and/or the conductive plugs from damage during a subsequent etching process. The exposed photoresist material is then removed.
    Type: Grant
    Filed: July 24, 2001
    Date of Patent: October 28, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Richard D. Holscher
  • Patent number: 6635583
    Abstract: The present invention generally provides a process for depositing silicon carbide using a silane-based material with certain process parameters that is useful for forming a suitable ARC for IC applications. The same material may also be used as a barrier layer and an etch stop, even in complex damascene structures and with high diffusion conductors such as copper as a conductive material. Under certain process parameters, a fixed thickness of the silicon carbide may be used on a variety of thicknesses of underlying layers. The thickness of the silicon carbide ARC is substantially independent of the thickness of the underlying layer for a given reflectivity, in contrast to the typical need for adjustments in the ARC thickness for each underlying layer thickness to obtain a given reflectivity.
    Type: Grant
    Filed: December 23, 1998
    Date of Patent: October 21, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Christopher Bencher, Joe Feng, Mei-Yee Shek, Chris Ngai, Judy Huang
  • Patent number: 6630713
    Abstract: The present invention includes a method for bonding one semiconductor surface to a second semiconductor surface. The method includes providing a first article that has a semiconductor surface and a second article that has a semiconductor surface. The semiconductor surfaces are annealed with an energy source wherein energy is confined to the semiconductor surfaces. The annealed surfaces are bonded to each other.
    Type: Grant
    Filed: February 25, 1999
    Date of Patent: October 7, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Joseph E. Geusic
  • Publication number: 20030183915
    Abstract: A semiconductor device comprising organic semiconductor material (14) has one or more barrier layers (16) disposed at least partially thereabout to protect the organic semiconductor material (14) from environment-driven changes that typically lead to inoperability of a corresponding device. If desired, the barrier layer can be comprised of partially permeable material that allows some substances therethrough to thereby effect disabling of the encapsulated organic semiconductor device after a substantially predetermined period of time. Getterers (141) may also be used to protect, at least for a period of time, such organic semiconductor material.
    Type: Application
    Filed: April 2, 2002
    Publication date: October 2, 2003
    Applicant: Motorola, Inc.
    Inventors: Steven Scheifers, Daniel Gamota, Andrew Skipor, Krishna Kalyanasundaram
  • Patent number: 6627973
    Abstract: A method of eliminating voids in the interlayer dielectric material of 0.18-&mgr;m flash memory semiconductor devices and a semiconductor device formed by the method. The present invention provides a method for eliminating voids in the interlayer dielectric of a 0.18-&mgr;m flash memory semiconductor device by providing a first BPTEOS layer, using a very low deposition rate and having a thickness in a range of approximately 3 kÅ; and providing a second BPTEOS layer, using a standard deposition rate and having a thickness in a range of approximately 13 kÅ, wherein both layers have an atomic dopant concentration of approximately 4.5% B and approximately 5% P. This two-step deposition process completely eliminates voids in the ILD for a 0.5-&mgr;m distance (gate-to-gate) as well as 0.38-&mgr;m distance (gate-to-gate) which is the future flash technology.
    Type: Grant
    Filed: September 13, 2002
    Date of Patent: September 30, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Robert A. Huertas, Lu You, King Wai Kelwin Ko, Pei-Yuan Gao
  • Patent number: 6624053
    Abstract: A interconnection structure of the damascene type is produced on a surface of a microelectronic device that includes at least one dielectric material layer for housing at least one interconnection and at least one interface layer on the dielectric material layer. The interface layer may include at least one SiCH layer and at least one SiOCH layer.
    Type: Grant
    Filed: December 6, 2000
    Date of Patent: September 23, 2003
    Assignee: STMicroelectronics S.A.
    Inventor: Gérard Passemard
  • Publication number: 20030173653
    Abstract: This invention provides a structure and method for improved transmission line operation on integrated circuits. One method of the invention includes forming transmission lines in an integrated circuit. The method includes forming a first layer of electrically conductive material on a substrate. A first layer of insulating material is formed on the first layer of the electrically conductive material. A pair of high permeability metal lines are formed on the first layer of insulating material. The pair of high permeability metal lines include permalloy and/or Ni45Fe55 films. A transmission line is formed on the first layer of insulating material and between and parallel with the pair of high permeability metal lines. A second layer of insulating material is formed on the transmission line and the pair of high permeability metal lines. And, the method includes forming a second layer of electrically conductive material on the second layer of insulating material.
    Type: Application
    Filed: February 20, 2003
    Publication date: September 18, 2003
    Applicant: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn, Salman Akram
  • Publication number: 20030173652
    Abstract: This invention provides a structure and method for improved transmission line operation on integrated circuits. One method of the invention includes forming transmission lines in an integrated circuit. The method includes forming a first layer of electrically conductive material on a substrate. A first layer of insulating material is formed on the first layer of the electrically conductive material. A pair of high permeability metal lines are formed on the first layer of insulating material. The pair of high permeability metal lines include permalloy and/or Ni45Fe55 films. A transmission line is formed on the first layer of insulating material and between and parallel with the pair of high permeability metal lines. A second layer of insulating material is formed on the transmission line and the pair of high permeability metal lines. And, the method includes forming a second layer of electrically conductive material on the second layer of insulating material.
    Type: Application
    Filed: February 20, 2003
    Publication date: September 18, 2003
    Applicant: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn, Salman Akram
  • Publication number: 20030173654
    Abstract: A shielded multi-conductor interconnect bus for use in interconnecting MEM devices with control signal sources or the like and a method of fabricating a shielded multi-conductor interconnect bus are disclosed. In one embodiment, a shielded interconnect bus formed on a substrate (20) includes a plurality of electrically conductive lines (42) arranged in sets of one, two or more conductive lines between electrically conductive shield walls (46, 66). The electrically conductive lines (42) are surrounded by layers of dielectric material (30, 50). An electrically conductive shield (78) overlies the electrically conductive lines (42) and electrically conductive shield walls (46, 66).
    Type: Application
    Filed: March 15, 2002
    Publication date: September 18, 2003
    Inventors: Murray Steven Rodgers, Stephen Matthew Barnes
  • Patent number: 6614096
    Abstract: Disclosed is a method for manufacturing a semiconductor device, which comprises the steps of forming a first insulating film made of a low dielectric constant material and containing carbon, subjecting the first insulating film to a surface treatment to reduce the carbon concentration of surface layer of the first insulating film, thus turning the surface layer into a low carbon concentration layer, forming a second insulating film on the low carbon concentration layer, forming a groove in the first and second insulating films for burying a metal therein, burying the metal in the groove formed in the first and second insulating films, and polishing a surface of the metal buried in the groove to thereby form a metal wiring.
    Type: Grant
    Filed: April 22, 2002
    Date of Patent: September 2, 2003
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Akihiro Kojima, Hideshi Miyajima
  • Publication number: 20030155632
    Abstract: An optical device having a high reflector tunable stress coating includes a micro-electromechanical system (MEMS) platform, a mirror disposed on the MEMS platform, and a multiple layer coating disposed on the mirror. The multiple layer coating includes a layer of silver (Ag), a layer of silicon dioxide (SiO2) deposited on the layer of Ag, a layer of intrinsic silicon (Si) deposited on the layer of SiO2, and a layer of silicon oxynitride (SiOxNy) deposited on the layer of Si. The concentration of nitrogen is increased and/or decreased to tune the stress (e.g., tensile, none, compressive).
    Type: Application
    Filed: February 19, 2002
    Publication date: August 21, 2003
    Inventor: Michael Goldstein
  • Patent number: 6603192
    Abstract: Passivation for capacitive sensor circuits, which overlies the capacitive sensor electrodes and is normally conformal to the electrodes and the underlying interlevel dielectric, is planarized by forming a layer of flowable oxide over the electrodes before forming the passivation. The flowable oxide, which is preferably very thin over the electrodes to minimize loss of sensitivity, provides a substantially planar upper surface, so that passivation formed on the flowable oxide is also substantially planar. Alternatively, a deposited oxide planarized by chemical mechanical polishing may be employed to planarize the surface on which a passivation stack is formed. The planarized passivation provides markedly improved scratch resistance.
    Type: Grant
    Filed: July 30, 1999
    Date of Patent: August 5, 2003
    Assignee: STMicroelectronics, Inc.
    Inventors: Danielle A. Thomas, Harry Michael Siegel
  • Patent number: 6600203
    Abstract: A suppression layer is formed on a SiC substrate in accordance with a CVD method which alternately repeats the step of epitaxially growing an undoped layer which is a SiC layer into which an impurity is not introduced and the step of epitaxially growing an impurity doped layer which is a SiC layer into which nitrogen is introduced pulsatively. A sharp concentration profile of nitrogen in the suppression layer prevents the extension of micropipes. A semiconductor device properly using the high breakdown voltage and high-temperature operability of SiC can be formed by depositing SiC layers forming an active region on the suppression layer.
    Type: Grant
    Filed: April 22, 2002
    Date of Patent: July 29, 2003
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Kunimasa Takahashi, Toshiya Yokogawa, Makoto Kitabatake, Masao Uchida, Osamu Kusumoto, Kenya Yamashita
  • Patent number: 6597042
    Abstract: A contact to a semiconductor substrate including a contact opening extending through an insulating layer to a doped active region of the semiconductor substrate. The contact opening can have a relatively high aspect ratio of 2:1 or greater. The contact further includes a refractory metal germanosilicide region at the bottom of the contact opening, a refractory metal germanide layer at the sidewalls of the contact opening, and an overlying refractory metal nitride layer. The refractory metals of the invention include at least tantalum, titanium, cobalt and mixtures thereof. The contact is metallized, preferably using tungsten or aluminum. The method of manufacturing the contact comprises etching the contact opening. A germane gas is used to clean native silicon dioxide from the bottom of the contact opening and to deposit a germanium layer thereon. A refractory metal layer is deposited over the germanium layer. After annealing in a nitrogen atmosphere at a temperature of about 600° C.
    Type: Grant
    Filed: April 12, 2000
    Date of Patent: July 22, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Jeffrey Honeycutt, Sujit Sharan
  • Patent number: 6597066
    Abstract: A fully hermetically sealed semiconductor chip and its method of manufacture. The semiconductor chip of the present invention is fully hermetically sealed on both sides and the edges thereof through the use of suitable coatings applied thereto, such as glass, to prevent an environmental attack of the semiconductor chip. The fully hermetically sealed semiconductor chip of the present invention does not require the use of a separate package for the hermetic sealing of the chip, thereby reducing the size of such a chip. The method of the manufacture of the semiconductor chip of the present invention provides a simple process for the fully hermetic sealing of both sides and the edges of the semiconductor chip without the use of a separate package.
    Type: Grant
    Filed: August 14, 2000
    Date of Patent: July 22, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Warren M. Farnworth, Salman Akram, Alan G. Wood
  • Patent number: 6593659
    Abstract: A semiconductor device with dual damascene structure is provided, which suppresses propagation delay of signals without using complicated processes. The device comprises a semiconductor substrate having a lower wiring layer and electronic elements, a first dielectric layer on the substrate, a second dielectric layer on the first dielectric layer made of carbon-containing SiO2, a third dielectric layer on the second dielectric layer, a fourth dielectric layer on the third dielectric layer made of carbon containing SiO2, the first and second dielectric layers having a via hole, the third dielectric layer having a recess overlapping the via hole, the recess formed to communicate with the via hole, a metal plug formed in the via hole in contact with the lower wiring layer or the electronic elements in the substrate, a metal wiring layer formed in the recess, and a fourth dielectric layer to cover the metal wiring layer.
    Type: Grant
    Filed: April 18, 2001
    Date of Patent: July 15, 2003
    Assignee: Nec Electronics Corporation
    Inventor: Takashi Yokoyama
  • Patent number: 6589447
    Abstract: Provided is a compound semiconductor single crystal and a fabrication process for a compound semiconductor device capable of forming a prescribed pattern without requirement of many steps. A group V element component in a III-V compound semiconductor single crystal or a group VI element component in the II-VI compound semiconductor single crystal is reduced less than a composition ratio expressed by a chemical formula of a corresponding compound semiconductor single crystal in a pattern-shaped portion.
    Type: Grant
    Filed: December 18, 2000
    Date of Patent: July 8, 2003
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Junya Ishizaki, Nobuhiko Noto
  • Patent number: 6584004
    Abstract: An electronic circuit package having a wiring substrate, at least two semiconductor chips and a bus line. All the semiconductor chips to be connected by means of the bus line are bare chip packaged on a wiring substrate, and the semiconductor chips and the wiring substrate are connected by wiring bonding between wire bonding pads formed on the semiconductor chips and the wiring substrate. The wiring substrate may be a multilayered substrate. Preferably, there is an insulating layer partially formed on the surface of the multilayer wiring substrate and a die bonding ground formed on the surface of the insulating layer, in order to use a portion of the multilayer wiring substrate under the die bonding ground as a wiring or a via hole region, and at least one of the semiconductor chips is formed on the die bonding ground.
    Type: Grant
    Filed: February 28, 2001
    Date of Patent: June 24, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Nobuyasu Kanekawa, Hirokazu Ihara, Masatsugu Akiyama, Kiyoshi Kawabata, Hisayoshi Yamanaka, Tetsuya Okishima
  • Publication number: 20030111711
    Abstract: A chip structure comprises a substrate, a first built-up layer, a passivation layer and a second built-up layer. The substrate includes many electric devices placed on a surface of the substrate. The first built-up layer is located on the substrate. The first built-up layer is provided with a first dielectric body and a first interconnection scheme, wherein the first interconnection scheme interlaces inside the first dielectric body and is electrically connected to the electric devices. The first interconnection scheme is constructed from first metal layers and plugs, wherein the neighboring first metal layers are electrically connected through the plugs. The passivation layer is disposed on the first built-up layer and is provided with openings exposing the first interconnection scheme. The second built-up layer is formed on the passivation layer.
    Type: Application
    Filed: January 6, 2003
    Publication date: June 19, 2003
    Inventors: Mou-Shiung Lin, Jin-Yuan Lee, Ching-Cheng Huang
  • Patent number: 6576557
    Abstract: The invention includes a semiconductor processing method in which a semiconductor substrate is exposed to reactive ion etching conditions. The reactive ion etching conditions comprise subjecting exposed surfaces of the substrate to a gas having components therein which are reactive with the exposed surfaces. A total concentration of the reactive components within the gas is less than 4.5%, by volume. In particular aspects, the total concentration of the reactive components can be less than 2% by volume, or less than 1% by volume. Exemplary reactive components are fluorine-containing components, such as NF3.
    Type: Grant
    Filed: May 7, 2002
    Date of Patent: June 10, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Kevin J. Torek, Satish Bedge
  • Patent number: 6573607
    Abstract: There is presented a semiconductor device including multiple levels of copper interconnects; wherein the surface of a copper interconnect corresponding to at least one underlying layer of another copper interconnect layer is turned into copper oxide to a thickness of 30 nm or more by oxidation conducted at the oxidation rate of 20 nm/min or less, and thereby the reflection of the exposure light from the lower-level copper interconnect is prevented, in forming by means of photolithography a trench to form a copper interconnect through damascening.
    Type: Grant
    Filed: August 24, 2001
    Date of Patent: June 3, 2003
    Assignee: NEC Electronics Corporation
    Inventors: Nobukazu Ito, Yoshihisa Matsubara
  • Patent number: 6566737
    Abstract: A novel passivation structure and its method of fabrication. According to the present invention a first dielectric layer is formed upon a conductive layer formed over a substrate. The first dielectric layer and the conductive layer are then patterned into a first dielectric capped interconnect and a dielectric capped bond pad. Next, a second dielectric layer is formed over and between the dielectric capped interconnect and the dielectric capped bond pad. The top portion of the second dielectric layer is removed so as to expose the dielectric capped bond pad and the dielectric capped interconnect. A third dielectric layer is then formed over the exposed dielectric capped bond pad and the exposed dielectric capped interconnect and over the second dielectric.
    Type: Grant
    Filed: July 14, 1998
    Date of Patent: May 20, 2003
    Assignee: Intel Corporation
    Inventor: Mark T. Bohr
  • Patent number: 6566736
    Abstract: Moisture seal apparatus and methodologies are disclosed for protecting semiconductor devices from moisture. An upper seal layer, such as SiN is formed over an upper insulator layer and an exposed portion of a die seal metal structure so as to form a vertical moisture seal between electrical components in the semiconductor device and the ambient environment. A lateral seal may be formed from the die seal metal structure in an upper metal layer in the device and one or more contacts extending downward from the die seal metal to the substrate or to a lower die seal metal structure.
    Type: Grant
    Filed: November 30, 2001
    Date of Patent: May 20, 2003
    Assignees: Advanced Micro Devices, Inc., Fujitsu Limited
    Inventors: Hiroyuki Ogawa, Yider Wu, Yu Sun
  • Patent number: 6563218
    Abstract: A plurality of wiring layers are laminated on an LSI chip. Each wiring layer includes an electrode to which is applied a mechanical pressure, a first insulating film formed in a region where it is necessary to have a high mechanical strength and having the electrode formed therein, a second insulating film formed in the same layer as the layer of the first insulating film and formed in a region where a mechanical strength higher than that of the first insulating layer is not required, and a wiring layer formed on the surface of the second insulating film.
    Type: Grant
    Filed: November 29, 2001
    Date of Patent: May 13, 2003
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Noriaki Matsunaga, Yoshiaki Shimooka, Kazuyuki Higashi, Hideki Shibata
  • Patent number: 6563219
    Abstract: An exemplary implementation of the invention is a process for forming passivation protection on a semiconductor assembly by the steps of: forming a layer of oxide over patterned metal lines having sidewalls; forming a first passivation layer of silicon nitride over the layer of oxide such that the first passivation layer of silicon nitride resides along the sidewalls of metal lines and pinches off a gap between the metal lines; performing a facet etch to remove material from the edges of the first passivation layer of silicon nitride and re-deposits some of removed material across a pinch-off junction; forming a second passivation layer of silicon nitride on the first passivation layer of silicon nitride.
    Type: Grant
    Filed: November 2, 2001
    Date of Patent: May 13, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Philip J. Ireland, James E. Green
  • Publication number: 20030080395
    Abstract: The present invention relates to an integrated circuit having a sealed nitride layer. In one embodiment, a method of forming a sealing nitride layer overlaying a silicon oxide layer in a contact opening of an integrated circuit is disclosed. The method comprises, forming a second layer of nitride overlaying a first layer of nitride to form the sealing nitride layer. The second layer of nitride further overlays an exposed portion of a surface of a substrate in the contact opening and sidewalls of the contact opening. Using reactive ion etching (RIE etch) without a mask to remove a portion of the second nitride layer adjacent the surface of the substrate in the contact opening to expose a portion of the surface of the substrate in the contact opening without removing portions of the second nitride layer covering the sidewalls of the contact opening.
    Type: Application
    Filed: October 25, 2001
    Publication date: May 1, 2003
    Applicant: Intersil Americas Inc.
    Inventor: James D. Beasom
  • Patent number: 6548900
    Abstract: A connection hole stopper film such as the silicon nitride film is formed to directly cover a lower interconnection. A lower interlayer insulation film is formed to directly cover that connection hole stopper film. An upper interlayer insulation film differing in etching property from the lower interlayer insulation film is formed to directly cover the lower interlayer insulation film. The upper interlayer insulation film is subjected to anisotropic etching, whereby an upper interconnection trench is formed. An upper interconnection is formed in that upper interconnection trench. A semiconductor device is obtained reduced in capacitance between interconnections and variation in the interconnection resistance.
    Type: Grant
    Filed: October 21, 1999
    Date of Patent: April 15, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Yoshihiro Kusumi
  • Publication number: 20030067056
    Abstract: A multilayer interconnect board comprised of a plurality of stacked cloth-reinforced resin layers with at least one layer of interconnect patterns formed at a stacking interface of the resin layers, wherein either an interconnect pattern is formed at a stacking interface between a bottommost resin layer provided at its bottom surface with lands for bonding with solder balls or other external connection terminals and a directly higher resin layer while avoiding portions corresponding to the lands; a full surface interconnect pattern is formed over substantially the entire surface of a stacking interface between a bottommost resin layer provided at its bottom surface with lands for bonding with solder balls or other external connection terminals and a directly higher resin layer; or no interconnect pattern is formed at a stacking interface between a bottommost resin layer provided at its bottom surface with lands for bonding with solder balls or other external connection terminals and a directly higher resin la
    Type: Application
    Filed: September 10, 2002
    Publication date: April 10, 2003
    Applicant: SHINKO ELECTRIC INDUSTRIES CO., LTD.
    Inventor: Yasushi Araki
  • Publication number: 20030062599
    Abstract: A process for producing semiconductor substrates with a coating film having an excellent chemical resistance with a high yield and an excellent production reliability without any development of cracks and any generation of foreign matters due to a projected portion of the coating film is described, which includes the steps of:
    Type: Application
    Filed: September 19, 2002
    Publication date: April 3, 2003
    Applicant: CATALYSTS & CHEMICALS INDUSTRIES CO., LTD.
    Inventors: Miki Egami, Ryo Muraguchi
  • Patent number: 6541809
    Abstract: A method for providing semiconductor openings having a substantially straight wall or other desired etch profile. An etchable material layer is formed having target dopant levels or other etch rate varying characteristics to compensate for the characteristics of a selected etching process to achieve the desired etch profile. The etching process may also be varied to further match the characteristics of the etchable material layer.
    Type: Grant
    Filed: September 14, 2000
    Date of Patent: April 1, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Ceredig Roberts
  • Patent number: 6541863
    Abstract: There is provided a semiconductor device comprising an insulating layer which is partly formed of porous material, and a method for fabricating the device. A stray capacitance of adjacent wiring lines is significantly reduced by reducing the amount of material, i.e., by using porous material in the insulating layer of a metallization layer. In one embodiment, the porous layer may be fabricated separately on a further substrate and is subsequently transferred to the product wafer while the further substrate and the product wafer are appropriately aligned to each other. In this way, fabrication of complete metallization layers having a reduced dielectric constant in advance or concurrently with the product wafer carrying the MOS structure is possible. Due to the reduced capacitance of the wiring lines of the metallization layer, signal performance and/or power consumption of an integrated circuit is improved.
    Type: Grant
    Filed: January 5, 2000
    Date of Patent: April 1, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Manfred Horstmann, Karsten Wieczorek, Gert Burbach
  • Patent number: 6538301
    Abstract: A semiconductor substrate has an element formation region and a scribe line region surrounding the element formation region. A metal wiring layer is formed so as to cover end portions of a plurality of interlayer insulating films over the entire periphery of the element formation region and includes cut portions at the corner of the element formation region. Then, a SOG film is formed on the entire surface of the substrate by spin coating, at that time, material of the SOG film flows out through the cut portion toward the scribe line region to prevent a SOG puddle from forming at the corner of the element formation region.
    Type: Grant
    Filed: August 27, 1998
    Date of Patent: March 25, 2003
    Assignee: NEC Corporation
    Inventors: Naoto Yamada, Naoyuki Yoshida, Atsushi Kimura
  • Publication number: 20030052391
    Abstract: Systems and methods are described for synthesis of films, coatings or layers using precursor exerted pressure containment. A method includes exerting a pressure between a first precursor layer that is coupled to a first substrate and a second precursor layer that is coupled to a second substrate; forming a composition layer; and moving the first substrate relative to the second substrate, wherein the composition layer remains coupled to the second substrate.
    Type: Application
    Filed: July 17, 2002
    Publication date: March 20, 2003
    Inventor: Billy J. Stanbery
  • Patent number: 6525401
    Abstract: A semiconductor device for an integrated injection logic cell having a pnp bipolar transistor structure formed on a semiconductor substrate, wherein at least one layer of insulating films formed on a base region of the pnp bipolar transistor structure is comprised of a silicon nitride film. The semiconductor device of the present invention is advantageous in that the silicon nitride film constituting at least one layer of the insulating films formed on the base region of the pnp bipolar transistor prevents an occurrence of contamination on the surface of the base region, so that both the properties of the pnp bipolar transistor and the operation of the IIL cell can be stabilized. Further, by the process of the present invention, the above-mentioned excellent semiconductor device can be produced.
    Type: Grant
    Filed: February 7, 2001
    Date of Patent: February 25, 2003
    Assignee: Sony Corporation
    Inventor: Hirokazu Ejiri
  • Patent number: 6522005
    Abstract: A low dielectric material is applied, as by spinning on, over the passivation layer of a semiconductor chip to fill the gaps which may exist between the top layer metal lines, and thereby minimize the possibility of cross talk which might otherwise be present between those lines.
    Type: Grant
    Filed: July 18, 2000
    Date of Patent: February 18, 2003
    Assignee: Hyundai Electronics America Inc.
    Inventors: Derryl D. J. Allman, Kenneth P. Fuchs, Gayle W. Miller, Samuel C. Gioia
  • Patent number: 6521976
    Abstract: A multilayer LC composite component is constructed for allowing for free and easy setting of an attenuation pole formed at a frequency higher than the central frequency to adjust the frequency characteristics of the LC composite component. In the multilayer LC composite component, inductor via-holes are connected in the direction in which insulation layers are stacked to constitute first to third pillar inductors. In the axial directions of the first and third inductors, input and output lead patterns are electrically connected to midpoints of the first and third inductors. A distance between a ground pattern and each of positions at which the input and output lead patterns are electrically connected to the first and third inductors is shorter than the length of each of the first and third inductors.
    Type: Grant
    Filed: August 30, 2001
    Date of Patent: February 18, 2003
    Assignee: Murata Manufacturing Co., Ltd.
    Inventor: Naoto Yamaguchi
  • Patent number: 6522006
    Abstract: A low dielectric material is applied, as by spinning on, over the passivation layer of a semiconductor chip to fill the gaps which may exist between the top layer metal lines, and thereby minimize the possibility of cross talk which might otherwise be present between those lines.
    Type: Grant
    Filed: October 23, 2000
    Date of Patent: February 18, 2003
    Assignee: Hyundai Electronics America Inc.
    Inventors: Derryl D. J. Allman, Kenneth P. Fuchs, Gayle W. Miller, Samuel C. Gioia
  • Publication number: 20030030057
    Abstract: The present invention generally provides a process for depositing silicon carbide using a silane-based material with certain process parameters that is useful for forming a suitable ARC for IC applications. The same material may also be used as a barrier layer and an etch stop, even in complex damascene structures and with high diffusion conductors such as copper as a conductive material. Under certain process parameters, a fixed thickness of the silicon carbide may be used on a variety of thicknesses of underlying layers. The thickness of the silicon carbide ARC is substantially independent of the thickness of the underlying layer for a given reflectivity, in contrast to the typical need for adjustments in the ARC thickness for each underlying layer thickness to obtain a given reflectivity.
    Type: Application
    Filed: December 23, 1998
    Publication date: February 13, 2003
    Inventors: CHRISTOPHER BENCHER, JOE FENG, MEI-YEE SHEK, CHRIS NGAI, JUDY HUANG
  • Patent number: 6518635
    Abstract: A major object of the present invention is to provide an improved semiconductor device so as to be able to reduce gate electric field concentration at a channel edge, suppress decrease in the threshold during MOSFET operation and reduce the leakage current. A gate insulation film is formed on a semiconductor substrate. A gate electrode is formed on the semiconductor substrate with the gate insulation film therebetween. The dielectric constant of the gate insulation film is not uniform in the surface.
    Type: Grant
    Filed: July 6, 2000
    Date of Patent: February 11, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Katsuomi Shiozawa, Toshiyuki Oishi, Yuji Abe, Yasunori Tokuda