Multiple Layers Patents (Class 257/635)
  • Patent number: 8254136
    Abstract: A printed circuit board (“PCB”) includes a first pattern structure, a second pattern structure, a third pattern structure, and a fourth pattern structure. The first pattern structure includes a first ground pattern. The second pattern structure includes a first line pattern overlapping the first ground pattern and a second ground pattern electrically insulated from the first line pattern. The third pattern structure includes a third ground pattern overlapping the first line pattern and a second line pattern overlapping the second ground pattern. The fourth pattern structure includes a fourth ground pattern overlapping the second line pattern. Therefore, the PCB may decrease noise.
    Type: Grant
    Filed: September 4, 2008
    Date of Patent: August 28, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kwan-Ho Kim, Ick-Kyu Jang, Ji-Man Myeong
  • Publication number: 20120205658
    Abstract: A semiconductor display device is formed including an interlayer insulating. Specifically, a TFT is formed and then a nitrogen-containing inorganic insulating film that transmits less moisture compared to organic resin film is formed so as to cover the TFT. Next, organic resin including photosensitive acrylic resin is applied and an opening is formed by partially exposing the organic resin film to light. The organic resin film where the opening is formed, is then covered with a nitrogen-containing inorganic insulating film which transmits less moisture than organic resin film does. Thereafter, the gate insulating film and the two layers of the nitrogen-containing inorganic insulating films are partially etched away in the opening of the organic resin film to expose the active layer of the TFT.
    Type: Application
    Filed: February 13, 2012
    Publication date: August 16, 2012
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Shunpei Yamazaki, Satoshi Murakami, Masahiko Hayakawa, Kiyoshi Kato, Mitsuaki Osame, Takashi Hirosue, Saishi Fujikawa
  • Patent number: 8227703
    Abstract: A multilayered circuit board of the present invention has a single-side laminated structure and does not include a core substrate having via-holes formed therethrough and vias for providing electrical connection through the via-holes. The multilayered circuit board includes a plurality of pairs of layers, each pair including a conductor circuit layer and an insulator layer, wherein a glass transition temperature of each insulator layer is 170° C. or higher, a coefficient of thermal expansion at the glass transition temperature or lower of each insulator layer is 35 ppm or less, and a modulus of elasticity of each insulator layer is 5 GPa or more.
    Type: Grant
    Filed: January 17, 2008
    Date of Patent: July 24, 2012
    Assignee: Sumitomo Bakelite Company, Ltd.
    Inventors: Hironori Maruyama, Kensuke Nakamura, Toru Meura, Hiroshi Hirose
  • Publication number: 20120168915
    Abstract: Embodiments relate to a method for forming reliable interconnects by preparing a substrate with a dielectric layer, processing the dielectric layer to serve as an IMD layer, wherein the IMD layer comprises a hybrid IMD layer comprising a plurality of dielectric materials with different k values.
    Type: Application
    Filed: December 30, 2010
    Publication date: July 5, 2012
    Applicant: GLOBALFOUNDRIES SINGAPORE PTE. LTD.
    Inventors: Luying DU, Fan ZHANG, Jun CHEN, Bei Chao ZHANG, Juan Boon TAN
  • Patent number: 8212337
    Abstract: A carbon-rich silicon carbide-like dielectric film having a carbon concentration of greater than, or equal to, about 30 atomic % C and a dielectric constant of less than, or equal to, about 4.5 is provided. In some embodiments, the dielectric film may optionally include nitrogen. When nitrogen is present, the carbon-rich silicon carbide-like dielectric film has a concentration nitrogen that is less than, or equal, to about 5 atomic % nitrogen. The carbon-rich silicon carbide-like dielectric film can be used as a dielectric cap layer in an interconnect structure. The inventive dielectric film is highly robust to UV curing and remains compressively stressed after UV curing. Moreover, the inventive dielectric film has good oxidation resistance and prevents metal diffusion into an interconnect dielectric layer. The present invention also provides an interconnect structure including the inventive dielectric film as a dielectric cap. A method of fabricating the inventive dielectric film is also provided.
    Type: Grant
    Filed: January 10, 2008
    Date of Patent: July 3, 2012
    Assignees: International Business Machines Corporation, Globalfoundries Inc.
    Inventors: Alfred Grill, Joshua L. Herman, Son Nguyen, E. Todd Ryan, Hosadurga K. Shobha
  • Patent number: 8212338
    Abstract: A semiconductor device (having an interlayer insulating film) which is sufficiently low in the dielectric constant and high in the mechanical strength is provided. A manufacturing method of a semiconductor device includes: a step of forming a dielectric thin film in which a plurality of pores are arranged around a skeleton mainly made of a Si—O bond, on a surface of a semiconductor substrate on which a desired element region is formed; a step of applying patterning on a surface of the dielectric thin film through a mask; and a step of bringing a gas containing at least one kind of tetramethylcyclotetrasiloxane (TMCTS), hexamethyldisilazane (HMDS) and trimethylchlorosilane (TMCS) molecules into contact with the patterned surface of the dielectric thin film.
    Type: Grant
    Filed: April 14, 2010
    Date of Patent: July 3, 2012
    Assignee: ULVAC
    Inventors: Yoshiaki Oku, Nobutoshi Fujii, Kazuo Kohmura
  • Publication number: 20120161296
    Abstract: A double patterned semiconductor structure is provided. The structure includes a first patterned and cured low-k structure located on a first portion of an antireflective coating, and a second patterned and cured low-k structure located on a second portion of the antireflective coating, wherein the second patterned and cured low-k structure is spaced apart from the first patterned and cured low-k dielectric structure.
    Type: Application
    Filed: February 28, 2012
    Publication date: June 28, 2012
    Applicant: International Business Machines Corporation
    Inventor: Qinghuang Lin
  • Publication number: 20120154690
    Abstract: This disclosure provides systems, processes, and apparatus implementing and using techniques for fabricating flexible integrated circuit (IC) device layers. In one implementation, a sacrificial layer is deposited on a substrate. The sacrificial layer can include amorphous silicon or molybdenum, by way of example. One or more electronic components are formed on the sacrificial layer. A polymer coating is provided on the one or more electronic components to define a coated device layer. The sacrificial layer is removed to release the coated device layer from the substrate. The sacrificial layer can be removed using a xenon difluoride gas or by etching, for example. Coated device layers made in accordance with this process can be stacked. The substrate can be formed of glass, silicon, a plastic, a ceramic, a compound semiconductor, and/or a metal, depending on the desired implementation. The electronic component(s) can include a passive component such as a resistor, an inductor, or a capacitor.
    Type: Application
    Filed: December 16, 2010
    Publication date: June 21, 2012
    Applicant: QUALCOMM MEMS TECHNOLOGIES, INC.
    Inventors: Teruo Sasagawa, Brian Arbuckle
  • Publication number: 20120146195
    Abstract: An overlay vernier mask pattern of a semiconductor device includes a first overlay vernier mask pattern having a first opening for exposing a first area of a layer to be etched on a substrate and a second opening for exposing a second area spaced apart from the first area, and a second overlay vernier mask pattern aligned on the first overlay vernier mask pattern and the layer to be etched, and having an opening for exposing the second opening while exposing a portion of the layer to be etched in the first area.
    Type: Application
    Filed: December 9, 2011
    Publication date: June 14, 2012
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Joon Seuk LEE
  • Publication number: 20120146196
    Abstract: A semiconductor device includes a dielectric layer in which zirconium, hafnium, and a IV group element are mixed. A method for fabricating a capacitor includes forming a bottom electrode, forming the dielectric layer and forming a top electrode over the dielectric layer.
    Type: Application
    Filed: September 13, 2011
    Publication date: June 14, 2012
    Inventors: Kee-Jeung LEE, Kwon Hong, Kyung-Woong Park, Ji-Hoon Ahn
  • Patent number: 8198707
    Abstract: A method and semiconductor device for forming a uniformly thin dielectric layer on graphene. A metal or semiconductor layer is deposited on graphene which is located on the surface of a dielectric layer or on the surface of a substrate. The metal or semiconductor layer may act as a nucleation layer for graphene. The metal or semiconductor layer may be subjected to an oxidation process. A thin dielectric layer may then be formed on the graphene layer after the metal or semiconductor layer is oxidized. As a result of synthesizing a metal-oxide layer on graphene, which acts as a nucleation layer for the gate dielectric and buffer to graphene, a uniformly thin dielectric layer may be established on graphene without affecting the underlying characteristics of graphene.
    Type: Grant
    Filed: January 22, 2009
    Date of Patent: June 12, 2012
    Assignee: Board of Regents, The University of Texas System
    Inventors: Luigi Colombo, Sanjay Banerjee, Seyoung Kim, Emanuel Tutuc
  • Patent number: 8193032
    Abstract: A method for formation of a carbon-based field effect transistor (FET) includes depositing a first dielectric layer on a carbon layer located on a substrate; forming a gate electrode on the first dielectric layer; etching an exposed portion of the first dielectric layer to expose a portion of the carbon layer; depositing a second dielectric layer over the gate electrode to form a spacer, wherein the second dielectric layer is deposited by atomic layer deposition (ALD), and wherein the second dielectric layer does not form on the exposed portion of the carbon layer; forming source and drain contacts on the carbon layer and forming a gate contact on the gate electrode to form the carbon-based FET.
    Type: Grant
    Filed: June 29, 2010
    Date of Patent: June 5, 2012
    Assignee: International Business Machines Corporation
    Inventors: Zhihong Chen, Dechao Guo, Shu-jen Han, Kai Zhao
  • Patent number: 8188603
    Abstract: A new method is provided for the creation of interconnect lines. Fine line interconnects are provided in a first layer of dielectric overlying semiconductor circuits that have been created in or on the surface of a substrate. A layer of passivation is deposited over the layer of dielectric, a thick second layer of dielectric is created over the surface of the layer of passivation. Thick and wide interconnect lines are created in the thick second layer of dielectric. The first layer of dielectric may also be eliminated, creating the wide thick interconnect network on the surface of the layer of passivation that has been deposited over the surface of a substrate.
    Type: Grant
    Filed: September 17, 2007
    Date of Patent: May 29, 2012
    Assignee: Megica Corporation
    Inventors: Mou-Shiung Lin, Jin-Yuan Lee
  • Patent number: 8178952
    Abstract: The present invention discloses a method including: providing a Group III-V component semiconductor material; forming a first layer over a surface of the Group III-V component semiconductor material, the first layer to unpin a Fermi level at the surface; forming a second layer over the first layer, the second layer for scaling an equivalent oxide thickness (EOT); and annealing the first layer before or after forming the second layer to remove bulk trap defects in the first layer.
    Type: Grant
    Filed: August 26, 2008
    Date of Patent: May 15, 2012
    Assignee: Intel Corporation
    Inventors: Jun-Fei Zheng, George Chen, Wilman Tsai
  • Patent number: 8178443
    Abstract: Hardmask films having high hardness and low stress are provided. In some embodiments a film has a stress of between about ?600 MPa and 600 MPa and hardness of at least about 12 GPa. In some embodiments, a hardmask film is prepared by depositing multiple sub-layers of doped or undoped silicon carbide using multiple densifying plasma post-treatments in a PECVD process chamber. In some embodiments, a hardmask film includes a high-hardness boron-containing film selected from the group consisting of SixByCz, SixByNz, SixByCzNw, BxCy, and BxNy. In some embodiments, a hardmask film includes a germanium-rich GeNx material comprising at least about 60 atomic % of germanium. These hardmasks can be used in a number of back-end and front-end processing schemes in integrated circuit fabrication.
    Type: Grant
    Filed: December 4, 2009
    Date of Patent: May 15, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Vishwanathan Rangarajan, George Andrew Antonelli, Bart van Schravendijk
  • Publication number: 20120104567
    Abstract: An insulative layer on a semiconductor substrate and a method of fabricating the structure includes the steps of depositing a single crystal layer of rare earth oxide on a semiconductor substrate to provide electrical insulation and thermal management. The rare earth oxide is crystal lattice matched to the substrate. A layer of single crystal IIIOxNy is formed in overlying relationship on the rare earth oxide by transitioning from the layer of rare earth oxide to a single crystal layer of IIIOxNy within a one wafer single epitaxial process. In the preferred embodiment the substrate is silicon, the rare earth oxide is Gd2O3, and the IIIOxNy includes AlOxNy.
    Type: Application
    Filed: August 12, 2011
    Publication date: May 3, 2012
    Inventors: Andrew Clark, Erdem Arkun, Michael Lebby
  • Publication number: 20120098107
    Abstract: The present invention relates to a process and system for depositing a thin film onto a substrate. One aspect of the invention is depositing a thin film metal oxide layer using atomic layer deposition (ALD).
    Type: Application
    Filed: December 29, 2011
    Publication date: April 26, 2012
    Inventors: Petri Raisanen, Jung Sung-hoon, Verghese Mohith
  • Publication number: 20120080779
    Abstract: Embodiments of the present invention generally relate to the fabrication of integrated circuits and particularly to the deposition of a boron containing amorphous carbon layer on a semiconductor substrate. In one embodiment, a method of processing a substrate in a processing chamber is provided. The method comprises providing a substrate in a processing volume, flowing a hydrocarbon containing gas mixture into the processing volume, generating a plasma of the hydrocarbon containing gas mixture by applying power from an RF source, flowing a boron containing gas mixture into the processing volume, and depositing a boron containing amorphous carbon film on the substrate in the presence of the plasma, wherein the boron containing amorphous carbon film contains from about 30 to about 60 atomic percentage of boron.
    Type: Application
    Filed: September 30, 2011
    Publication date: April 5, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Martin Jay SEAMONS, Sudha RATHI, Kwangduk Douglas LEE, Deenesh PADHI, Bok Hoen KIM, Chiu CHAN
  • Publication number: 20120074536
    Abstract: Methods of manufacturing semiconductor devices are disclosed. A preferred embodiment comprises a method of manufacturing a semiconductor device, the method including providing a workpiece, disposing an etch stop layer over the workpiece, and disposing a material layer over the etch stop layer. The material layer includes a transition layer. The method includes patterning the material layer partially with a first pattern, and patterning the material layer partially with a second pattern. Patterning the material layer partially with the second pattern further comprises simultaneously completely patterning the material layer with the first pattern.
    Type: Application
    Filed: December 5, 2011
    Publication date: March 29, 2012
    Applicant: Infineon Technologies AG
    Inventors: Michael Beck, Erdem Kaltalioglu
  • Publication number: 20120074487
    Abstract: Electronic apparatus and methods of forming the electronic apparatus include cobalt titanium oxide on a substrate for use in a variety of electronic systems. The cobalt titanium oxide may be structured as one or more monolayers. The cobalt titanium oxide may be formed by a monolayer by monolayer sequencing process such as atomic layer deposition.
    Type: Application
    Filed: December 5, 2011
    Publication date: March 29, 2012
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 8138580
    Abstract: In order to provide an adhesive composition for electronic components that is excellent in adhesion durability under long-term high temperature conditions, thermal cyclability, and insulation reliability, designed is an adhesive composition for electronic components containing a thermoplastic resin (a), an epoxy resin (b), a hardener (c), and an organopolysiloxane (d), wherein the glass transition temperature (Tg) after curing is ?10° C. to 50° C. and the rate of change of Tg after heat-treating the composition at 175° C. for 1000 hours is 15% or less.
    Type: Grant
    Filed: September 12, 2008
    Date of Patent: March 20, 2012
    Assignee: Toray Industries, Inc.
    Inventors: Yukitsuna Konishi, Hirohumi Tsuchiya, Shinsuke Kimura, Yasushi Sawamura
  • Patent number: 8138579
    Abstract: Semiconductor structures and methods of forming semiconductor structures, and more particularly to structures and methods of forming SiGe and/or SiGeC buried layers for SOI/SiGe devices. An integrated structure includes discontinuous, buried layers having alternating Si and SiGe or SiGeC regions. The structure further includes isolation structures at an interface between the Si and SiGe or SiGeC regions to reduce defects between the alternating regions. Devices are associated with the Si and SiGe or SiGeC regions. The invention is also directed to a design structure on which a circuit resides.
    Type: Grant
    Filed: October 5, 2007
    Date of Patent: March 20, 2012
    Assignee: International Business Machines Corporation
    Inventors: Xuefeng Liu, Robert M. Rassel, Steven H. Voldman
  • Publication number: 20120061807
    Abstract: Crisscrossing spacers formed by pitch multiplication are used to form isolated features, such as contacts vias. A first plurality of mandrels are formed on a first level and a first plurality of spacers are formed around each of the mandrels. A second plurality of mandrels is formed on a second level above the first level. The second plurality of mandrels is formed so that they cross the first plurality of mandrels, when viewed in a top down view. A second plurality of spacers is formed around each of the second plurality of mandrels. The first and the second mandrels are selectively removed to leave a pattern of voids defined by the crisscrossing first and second pluralities of spacers. These spacers can be used as a mask to transfer the pattern of voids to a substrate. The voids can be filled with conductive material to form conductive contacts.
    Type: Application
    Filed: September 19, 2011
    Publication date: March 15, 2012
    Applicant: Micron Technology, Inc.
    Inventor: Luan C. Tran
  • Patent number: 8115317
    Abstract: To improve connection reliability of a through electrode in a semiconductor device, and prevent deterioration of electrical characteristics due to a residue generated from a pad at the time of forming the through electrode. A contact area between a pad and a conductor layer is equal to a diameter of a hole of an opening provided in a silicon substrate. Consequently, it is possible to increase the contact area as compared with a conventional configuration. This improves the connection reliability. Furthermore, a residue containing metal is attached to the outside of an insulation film in the manufacturing process. Consequently, the residue is prevented from contacting a silicon substrate body. Also, heavy metals, such as Cu, in the residue are prevented from being diffused into the silicon substrate body. Therefore, it is possible to prevent the deterioration of electrical characteristics.
    Type: Grant
    Filed: May 29, 2009
    Date of Patent: February 14, 2012
    Assignee: Oki Semiconductor Co., Ltd.
    Inventors: Shigeru Yamada, Yutaka Kadogawa
  • Patent number: 8115318
    Abstract: In a semiconductor device, an insulating interlayer having a groove is formed on an insulating underlayer. A silicon-diffused metal layer including no metal silicide is buried in the groove. A metal diffusion barrier layer is formed on the silicon-diffused metal layer and the insulating interlayer.
    Type: Grant
    Filed: May 4, 2010
    Date of Patent: February 14, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Koichi Ohto, Toshiyuki Takewaki, Tatsuya Usami, Nobuyuki Yamanishi
  • Publication number: 20120032311
    Abstract: An in-situ process is described incorporating plasma enhanced chemical vapor deposition comprising flowing at least one of a Si, Si+C, B, Si+B, Si+B+C, and B+C containing precursor, and a N containing precursors at first times and removing the N precursor at second times and starting the flow of an oxidant gas and a porogen gas into the chamber. A dielectric layer is described comprising a network having inorganic random three dimensional covalent bonding throughout the network which contains at least one SiCN, SiCNH, SiN, SiNH, BN, BNH, CBN, CBNH, BSiN, BSiNH, SiCBN and SiCBNH as a first component and a low k dielectric as a second component adjacent thereto.
    Type: Application
    Filed: August 9, 2010
    Publication date: February 9, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Stephen M. Gates, Alfred Grill, Son V. Nguyen, Satyanarayana V. Nitta
  • Patent number: 8084370
    Abstract: Electronic apparatus and methods may include a hafnium tantalum oxynitride film on a substrate for use in a variety of electronic systems. The hafnium tantalum oxynitride film may be structured as one or more monolayers. The hafnium tantalum oxynitride film may be formed using atomic layer deposition. Metal electrodes may be disposed on a dielectric containing a hafnium tantalum oxynitride film.
    Type: Grant
    Filed: October 19, 2009
    Date of Patent: December 27, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn, Arup Bhattacharyya
  • Publication number: 20110304030
    Abstract: A semiconductor device includes: an insulating layer formed on a substrate; a plurality of interlayer insulating films which are formed on the insulating layer and comprise an opening window; a multilayer wiring which is formed with a plurality of wiring layers and a plurality of vias formed in the plurality of interlayer insulating films; a metal pad connected with the multilayer wiring, an upper surface part of the metal pad being a bottom part of the opening window, the metal pad formed closer to the substrate than a wiring layer of a lowermost layer of the plurality of wiring layers and is; and a pad ring provided on the metal pad, the pad ring penetrating the plurality of interlayer insulating films and the pad ring surrounding the opening window.
    Type: Application
    Filed: March 15, 2011
    Publication date: December 15, 2011
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Noriteru Yamada
  • Patent number: 8076778
    Abstract: A semiconductor device and related method for fabricating the same include providing a stacked structure including an insulating base layer and lower and upper barrier layers with a conductive layer in between, etching the stacked structure to provide a plurality of conductive columns that each extend from the lower barrier layer, each of the conductive columns having an overlying upper barrier layer cap formed from the etched upper barrier layer, wherein the lower barrier layer is partially etched to provide a land region between each of the conductive lines, forming a liner layer over the etched stacked structure exposing the land region, and etching the liner layer and removing the exposed land region to form a plurality of conductive lines.
    Type: Grant
    Filed: September 30, 2009
    Date of Patent: December 13, 2011
    Assignee: Macronix International Co., Ltd.
    Inventors: Kuo Liang Wei, Hsu Sheng Yu, Hong-Ji Lee
  • Publication number: 20110284996
    Abstract: In one embodiment, a semiconductor device includes a substrate, and a plurality of interconnects provided in the same interconnect layer above the substrate. The device further includes a plurality of insulators provided so as to be buried between the plurality of interconnects. Moreover, the plurality of interconnects include an interconnect group in which 2N or more interconnects are successively arrayed so that correlation coefficients of line edge roughness (LER) between both side surfaces of the respective interconnects are positive, where N is an integer of 4 or more.
    Type: Application
    Filed: May 17, 2011
    Publication date: November 24, 2011
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Takashi Kurusu, Takashi Izumida, Hiroyoshi Tanimoto, Nobutoshi Aoki
  • Patent number: 8063452
    Abstract: A gate insulating film having a high dielectric constant, a semiconductor device provided with the gate insulating film, and a method for manufacturing such film and device are provided. The semiconductor device is provided with a group 14 (IVA) semiconductor board and a first oxide layer. The first oxide layer is composed of MO2 existing on the board, where M is a first metal species selected from the group 4 (IVB); and M?xOy, where M? is a second metal species selected from the group 3 (IIIB) and a group composed of lanthanide series, and x and y are integers decided by the oxidation number of M.
    Type: Grant
    Filed: August 30, 2005
    Date of Patent: November 22, 2011
    Assignee: The University of Tokyo
    Inventors: Akira Toriumi, Koji Kita, Kazuyuki Tomida, Yoshiki Yamamoto
  • Patent number: 8054551
    Abstract: The present invention provides a display device and a method of fabricating the same. The display device includes a substrate, a transflective layer including first diffusive layers and second diffusive layers alternately arranged on the substrate, the first diffusive layers including a first diffusive material having a first refractive index, and the second diffusive layers including a second diffusive material having a second refractive index different from the first refractive index, a plurality of via holes formed in the transflective layer, and a light-emitting layer disposed on the substrate.
    Type: Grant
    Filed: May 21, 2009
    Date of Patent: November 8, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hae-Yeon Lee, Young-In Hwang, Baek-Woon Lee
  • Patent number: 8053870
    Abstract: Disclosed are embodiments of a semiconductor structure that incorporates multiple nitride layers stacked between the center region of a device and a blanket oxide layer. These nitride layers are more thermally conductive than the blanket oxide layer and, thus provide improved heat dissipation away from the device. Also disclosed are embodiments of a method of forming such a semiconductor structure in conjunction with the formation of any of the following nitride layers during standard processing of other devices: a nitride hardmask layer (OP layer), a “sacrificial” nitride layer (SMT layer), a tensile nitride layer (WN layer) and/or a compressive nitride layer (WP layer). Optionally, the embodiments also incorporate incomplete contacts that extend through the blanket oxide layer into one or more of the nitride layers without contacting the device in order to further improve heat dissipation.
    Type: Grant
    Filed: December 15, 2009
    Date of Patent: November 8, 2011
    Assignee: International Business Machines Corporation
    Inventors: Brent A. Anderson, Joseph M. Lukaitis, Jed H. Rankin, Robert R. Robison
  • Patent number: 8044505
    Abstract: A prepreg which can meet a demand for thickness reduction is provided. The prepreg has first and second resin layers having different applications, functions, capabilities, or properties, and allows an amount of a resin composition in each of the first and second resin layers to be set appropriately depending on a circuit wiring portion to be embedded into the second resin layer. Further, a method for manufacturing the above prepreg, and a substrate and a semiconductor device having the prepreg are also provided.
    Type: Grant
    Filed: November 30, 2006
    Date of Patent: October 25, 2011
    Assignee: Sumitomo Bakelite Company Limited
    Inventors: Takeshi Hosomi, Maroshi Yuasa, Kazuya Hamaya, Takayuki Baba
  • Patent number: 8035202
    Abstract: A semiconductor chip of the present invention has a wiring substrate and a chip part. The wiring substrate has an insulating resin layer having a first major surface and a second major surface, and a first wiring layer disposed on the insulating resin layer on the second major surface side. The chip part has a projection electrode on the bottom surface. The insulating resin layer holds the chip part such that the bottom and side surfaces of the chip part are in contact with the insulating resin layer, and the top surface of the chip part is exposed on the insulating layer on the first major surface side. The projection electrode of the chip part is connected with the first wiring layer.
    Type: Grant
    Filed: July 6, 2009
    Date of Patent: October 11, 2011
    Assignee: NEC Corporation
    Inventors: Shinji Watanabe, Yukio Yamaguchi
  • Publication number: 20110241184
    Abstract: An integrated circuit device includes a plurality of stacked circuit layers, at least one of the plurality of circuit layers including a composite interlayer insulation layer including laterally adjacent first and second insulating material regions having different mechanical strengths and dielectric properties and a plurality of circuit components disposed in the composite interlayer insulation layer. The first insulating material region may have a lower dielectric constant and a lower mechanical strength than the second insulating material region such that, for example, the first insulating material region may be positioned near signal lines or other circuit features to reduce capacitance while using the second insulating material region near a location that is susceptible to localized mechanical stress, such as a fuse location, an external connection bonding location or a scribe line location.
    Type: Application
    Filed: February 2, 2011
    Publication date: October 6, 2011
    Inventors: Kyu-hee Han, Sang-hoon Ahn, Eunkee Hong
  • Patent number: 8030725
    Abstract: Apparatus and methods for detecting evaporation conditions in an evaporator for evaporating metal onto semiconductor wafers, such as GaAs wafers, are disclosed. One such apparatus can include a crystal monitor sensor configured to detect metal vapor associated with a metal source prior to metal deposition onto a semiconductor wafer. This apparatus can also include a shutter configured to remain in a closed position when the crystal monitor sensor detects an undesired condition, so as to prevent metal deposition onto the semiconductor wafer. In some implementations, the undesired condition can be indicative of a composition of a metal source, a deposition rate of a metal source, impurities of a metal source, position of a metal source, position of an electron beam, and/or intensity of an electron beam.
    Type: Grant
    Filed: October 5, 2010
    Date of Patent: October 4, 2011
    Assignee: Skyworks Solutions, Inc.
    Inventors: Lam T. Luu, Heather L. Knoedler, Richard S. Bingle, Daniel C. Weaver
  • Patent number: 8022497
    Abstract: A semiconductor device capable of preventing an interlayer dielectric film from deterioration resulting from a liquid such as a chemical solution penetrating into the interlayer dielectric film and recovering the interlayer dielectric film from deterioration with a prescribed gas is obtained. This semiconductor device comprises a first insulating film formed on a substrate and a first gas-liquid separation film, formed on at least a part of the surface of the first insulating film, composed of a material hardly permeable by a liquid and easily permeable by a gas.
    Type: Grant
    Filed: February 28, 2007
    Date of Patent: September 20, 2011
    Assignees: Sanyo Electric Co., Ltd., NEC Corporation, Rohm Co., Ltd.
    Inventors: Yoshinori Shishida, Shinichi Chikaki, Ryotaro Yagi
  • Patent number: 8024689
    Abstract: It is an object to provide a semiconductor integrated circuit which can easily be designed and has a low wiring resistance, and a method and apparatus for designing the semiconductor integrated circuit. In a semiconductor integrated circuit apparatus according to the invention, a first wiring layer is provided with a plurality of signal wirings having an equal width which is disposed in parallel with each other at a regular interval, and at least two of the signal wirings which are adjacent to each other are electrically connected to each other.
    Type: Grant
    Filed: May 16, 2007
    Date of Patent: September 20, 2011
    Assignee: Panasonic Corporation
    Inventors: Noriko Shinomiya, Kiyohito Mukai
  • Publication number: 20110215437
    Abstract: Method of patterning a semiconductor structure is disclosed. The method involves crystallographic etching techniques to enhance a patterned monocrystalline layer as a hard mask. In one embodiment, the method includes bonding a monocrystalline silicon layer to a non-crystalline protective layer; patterning the monocrystalline layer to form a hard mask; enhancing the pattern of the hard mask; stripping the hard mask after conventional etching of protective layer; and forming a gate oxide thereon. The enhanced patterning of the hard mask is performed with crystallographic etching to replace optical effects of rounding and dimension narrowing at the ends of a defined region with straight edges and sharp corners. A resulting structure from the use of the enhanced patterned hard mask includes a layer of composite materials on the substrate of the semiconductor structure. The layer of composite materials includes different materials in discrete blocks defined by straight edges within the layer.
    Type: Application
    Filed: May 5, 2011
    Publication date: September 8, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Thomas W. Dyer, James J. Toomey
  • Patent number: 8013392
    Abstract: Semiconductor structure formed on a substrate and process of forming the semiconductor. The semiconductor includes a plurality of field effect transistors having a first portion of field effect transistors (FETS) and a second portion of field effect transistors. A first stress layer has a first thickness and is configured to impart a first determined stress to the first portion of the plurality of field effect transistors. A second stress layer has a second thickness and is configured to impart a second determined stress to the second portion of the plurality of field effect transistors.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: September 6, 2011
    Assignee: International Business Machines Corporation
    Inventors: Bruce B. Doris, Oleg G. Gluschenkov, Huilong Zhu
  • Publication number: 20110204492
    Abstract: Embodiments of the present invention pertain to the formation of microelectronic structures. Low k dielectric materials need to exhibit a dielectric constant of less than about 2.6 for the next technology node of 32 nm. The present invention enables the formation of semiconductor devices which make use of such low k dielectric materials while providing an improved flexural and shear strength integrity of the microelectronic structure as a whole.
    Type: Application
    Filed: February 23, 2010
    Publication date: August 25, 2011
    Inventors: Bo Xie, Alexandros T. Demos, Daemian Raj, Sure Ngo, Kang Sub Yim
  • Patent number: 7999325
    Abstract: An example process to remove spacers from the gate of a NMOS transistor. A stress creating layer is formed over the NMOS and PMOS transistors and the substrate. In an embodiment, the spacers on gate are removed so that stress layer is closer to the channel of the device. The stress creating layer is preferably a tensile nitride layer. The stress creating layer is preferably a contact etch stop liner layer. In an embodiment, the gates, source and drain region have a silicide layer thereover before the stress creating layer is formed. The embodiment improves the performance of the NMOS transistors.
    Type: Grant
    Filed: September 30, 2008
    Date of Patent: August 16, 2011
    Assignee: Globalfoundries Singapore Pte. Ltd.
    Inventors: Young Way Teh, Yong Meng Lee, Chung Woh Lai, Wenhe Lin, Khee Yong Lim, Wee Leng Tan, John Sudijono, Hui Peng Koh, Liang Choo Hsia
  • Patent number: 7999399
    Abstract: An overlay vernier key includes a semiconductor substrate on which a cell region and a scribe lane region are defined, and a plurality of vernier patterns which are formed in the scribe lane region of the semiconductor substrate and arranged in a polygonal shape. Each of the vernier patterns has a hollow polygonal shape.
    Type: Grant
    Filed: December 29, 2006
    Date of Patent: August 16, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Byeong Ho Cho, Sung Woo Ko
  • Publication number: 20110186970
    Abstract: A method for manufacturing a semiconductor device comprises: etching a semiconductor substrate to form a plurality of pillars; depositing a first protective film on the sidewalls of the pillar; first etching the semiconductor substrate with the pillar deposited with the first protective film as a mask; forming a first insulating film on the sidewalls of the pillar and the first etched semiconductor substrate; second etching the semiconductor substrate with the pillar including the first insulating film as a mask; forming a second protective film and a second insulating film on the surface of the second etched semiconductor substrate; depositing a barrier film on the sidewalls of the pillar including the second insulating film; and removing the first insulating film, the second insulating film and the barrier film disposed at one sidewall of the pillar to form a contact hole defined by the first protective film and the second protective film.
    Type: Application
    Filed: July 20, 2010
    Publication date: August 4, 2011
    Applicant: Hynix Semiconductor Inc.
    Inventor: Min Chul SUNG
  • Publication number: 20110188533
    Abstract: The invention includes a single chip having multiple different devices integrated thereon for a common purpose. The chip includes a substrate having a peripheral area, a mid-chip area, and a central area. A plurality of FETs are formed in the peripheral area with each FET having a layer of single crystal rare earth material in at least one of a conductive channel, a gate insulator, or a gate stack. A plurality of photonic devices including light emitting diodes or vertical cavity surface emitting lasers are formed in the mid-chip area with each photonic device having an active layer of single crystal rare earth material. A plurality of photo detectors are formed in the central area.
    Type: Application
    Filed: February 4, 2010
    Publication date: August 4, 2011
    Inventor: MICHAEL LEBBY
  • Publication number: 20110186971
    Abstract: Barrier layers and methods for forming barrier layers on a porous layer are provided. The methods can include chemically adsorbing a plurality of first molecules on a surface of the porous layer in a chamber and forming a first layer of the first molecules on the surface of the porous layer. A plasma can then be used to react a plurality of second molecules with the first layer of first molecules to form a first layer of a barrier layer. The barrier layers can seal the pores of the porous material, function as a diffusion barrier, be conformal, and/or have a negligible impact on the overall ILD k value of the porous material.
    Type: Application
    Filed: April 11, 2011
    Publication date: August 4, 2011
    Inventors: Ying-Bing Jiang, Joseph L. Cecchi, C. Jeffrey Brinker
  • Patent number: 7989324
    Abstract: The present invention provides an SOS wafer comprising a non-transparent polysilicon layer provided on a back surface of a sapphire substrate, a silicon nitride layer which protects the polysilicon layer, and a stress relaxing film which cancels stress produced in the silicon nitride layer, wherein the silicon nitride layer and the stress relaxing film are provided on the back surface side.
    Type: Grant
    Filed: July 8, 2009
    Date of Patent: August 2, 2011
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Kimiaki Shimokawa
  • Patent number: 7968977
    Abstract: The present invention relates to a dicing film having an adhesive film for dicing a wafer and a die adhesive film, which are used for manufacturing a semiconductor package, and a method of manufacturing a semiconductor package using the same. More particularly, the present invention relates to a dicing film wherein a shrinkage release film is inserted between an adhesive film for dicing a wafer and a die adhesive film so that the die adhesive film and a die can be easily separated from the adhesive film for dicing a wafer when picking up a semiconductor die, and a method of manufacturing a semiconductor package using the same.
    Type: Grant
    Filed: December 10, 2004
    Date of Patent: June 28, 2011
    Assignee: LG Innotek Co., Ltd.
    Inventors: Joon Mo Seo, Hyuk Soo Moon, Cheol Jong Han, Jong Geol Lee, Kyung Tae Wi
  • Patent number: RE43320
    Abstract: There is disclosed a semiconductor device comprising a first metal wiring buried in a first wiring groove formed, via a first barrier metal, in a first insulating layer formed on a semiconductor substrate, a second insulating layer formed on the first metal wiring, a via plug formed of a metal buried, via a second barrier metal, in a via hole formed in the second insulating layer, a third insulating layer formed on the second insulating layer in which the via plug is buried, and a second metal wiring buried in a second wiring groove formed in the third insulating layer via a third barrier metal having a layer thickness of layer quality different from that of the second barrier metal.
    Type: Grant
    Filed: August 29, 2008
    Date of Patent: April 24, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masaki Yamada, Hideki Shibata