Multiple Layers Patents (Class 257/635)
  • Publication number: 20100090309
    Abstract: Some embodiments include dielectric structures. The structures include first and second portions that are directly against one another. The first portion may contain a homogeneous mixture of a first phase and a second phase. The first phase may have a dielectric constant of greater than or equal to 25, and the second phase may have a dielectric constant of less than or equal to 20. The second portion may be entirely a single composition having a dielectric constant of greater than or equal to 25. Some embodiments include electrical components, such as capacitors and transistors, containing dielectric structures of the type described above. Some embodiments include methods of forming dielectric structures, and some embodiments include methods of forming electrical components.
    Type: Application
    Filed: October 15, 2008
    Publication date: April 15, 2010
    Inventors: Noel Rocklein, Chris M. Carlson, Dave Peterson, Cunyu Yang, Praveen Vaidyanathan, Vishwanath Bhat
  • Publication number: 20100078773
    Abstract: A semiconductor device includes a substrate, a semiconductor device structure over the substrate, an insulating film that covers the semiconductor device structure, and a stress-compensation film over the insulating film. The stress-compensation film has a first stress that compensates a second stress working to bend the substrate.
    Type: Application
    Filed: September 25, 2009
    Publication date: April 1, 2010
    Applicant: ELPIDA MEMORY. INC.
    Inventor: Shigeo Ishikawa
  • Patent number: 7687917
    Abstract: In a semiconductor device, an insulating interlayer having a groove is formed on an insulating underlayer. A silicon-diffused metal layer including no metal silicide is buried in the groove. A metal diffusion barrier layer is formed on the silicon-diffused metal layer and the insulating interlayer.
    Type: Grant
    Filed: August 28, 2003
    Date of Patent: March 30, 2010
    Assignee: NEC Electronics Corporation
    Inventors: Koichi Ohto, Toshiyuki Takewaki, Tatsuya Usami, Nobuyuki Yamanishi
  • Patent number: 7675118
    Abstract: A semiconductor structure including an nFET having a fully silicided gate electrode wherein a new dual stress liner configuration is used to enhance the stress in the channel region that lies beneath the gate electrode is provided. The new dual stress liner configuration includes a first stress liner that has an upper surface that is substantially planar with an upper surface of a fully silicided gate electrode of the nFET. In accordance with the present invention, the first stress liner is not present atop the nFET including the fully silicided gate electrode. Instead, the first stress liner of the present invention partially wraps around, i.e., surrounds the sides of, the nFET with the fully silicided gate electrode. A second stress liner having an opposite polarity as that of the first stress liner (i.e., of an opposite stress type) is located on the upper surface of the first stress liner as well as atop the nFET that contains the fully silicided FET.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: March 9, 2010
    Assignee: International Business Machines Corporation
    Inventors: Dureseti Chidambarrao, Yaocheng Liu, William K. Henson
  • Patent number: 7667301
    Abstract: A thermal treatment apparatus, a method for manufacturing a semiconductor device, and a method for manufacturing a substrate, wherein the occurrence of slip dislocation in a substrate during heat treatment is reduced, and a high-quality semiconductor device can be manufactured, are intended to be provided. A substrate support 30 is formed from a main body portion 56 and a supporting portion 58. In the main body portion 56, a plurality of placing portions 66 extend parallel, and supporting portions 58 are provided on the placing portions 66. A substrate 68 is placed on the supporting portion 58. The supporting portion 58 has a smaller area than an area of a flat face of the substrate, and is formed from a silicon plate having a thickness larger than thickness of the substrate, so that deformation during heat treatment is reduced. The supporting portion 58 is made of silicon, and a layer coated with silicon carbide (SiC) is formed on a substrate-placing face of the supporting portion 58.
    Type: Grant
    Filed: September 26, 2003
    Date of Patent: February 23, 2010
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Naoto Nakamura, Iwao Nakamura, Tomoharu Shimada, Kenichi Ishiguro, Sadao Nakashima
  • Patent number: 7659215
    Abstract: Disclosed herein is a method of depositing a nanolaminate film for next-generation non-volatile floating gate memory devices by atomic layer deposition. The method includes the steps of: introducing a substrate into an atomic layer deposition reactor; forming on the substrate a first high-dielectric-constant layer by alternately supplying an oxygen source and a metal source selected from among an aluminum source, a zirconium source and a hafnium source; forming on the first high-dielectric-constant layer a nickel oxide layer by alternately supplying a nickel source and an oxygen source; and forming on the nickel oxide layer a second high-dielectric-constant layer by alternately supplying an oxygen source and a metal source selected from among an aluminum source, a zirconium source and a hafnium source.
    Type: Grant
    Filed: August 29, 2007
    Date of Patent: February 9, 2010
    Assignee: Korea Research Institute of Chemical Technology
    Inventors: Chang-Gyoun Kim, Young-Kuk Lee, Taek-Mo Chung, Ki-Seok An, Sun-Sook Lee, Won-Tae Cho
  • Patent number: 7656010
    Abstract: A semiconductor device includes: a semiconductor layer; at least one electrode formed on a semiconductor layer to be in contact with the semiconductor layer; and a passivation film covering the semiconductor layer and at least part of the top surface of the electrode to protect the semiconductor layer and formed of a plurality of sub-films. The passivation film includes a first sub-film made of aluminum nitride.
    Type: Grant
    Filed: September 18, 2007
    Date of Patent: February 2, 2010
    Assignee: Panasonic Corporation
    Inventors: Tomohiro Murata, Hiroaki Ueno, Hidetoshi Ishida, Tetsuzo Ueda, Yasuhiro Uemoto, Tsuyoshi Tanaka, Daisuke Ueda
  • Publication number: 20100019357
    Abstract: A gate insulating film having a high dielectric constant, a semiconductor device provided with the gate insulating film, and a method for manufacturing such film and device are provided. The semiconductor device is provided with a group 14 (IVB) semiconductor board and a first oxide layer. The first oxide layer is composed of MO2 existing on the board, where M is a first metal species selected from the group 4 (IVA); and M?xOy, where M? is a second metal species selected from the group 3 (IIIA) and a group composed of lanthanide series, and x and y are integers decided by the oxidation number of M.
    Type: Application
    Filed: August 30, 2005
    Publication date: January 28, 2010
    Applicant: THE UNIVERSITY OF TOKYO
    Inventors: Akira Toriumi, Koji Kita, Kazuyuki Tomida, Yoshiki Yamamoto
  • Publication number: 20100019358
    Abstract: A semiconductor device and method is provided that has an oxygen diffusion barrier layer between a high-k dielectric and BOX. The method includes depositing a diffusion barrier layer on a BOX layer and gate structure and etching a portion of the diffusion barrier layer from sidewalls of the gate structure. The method further includes depositing a high-k dielectric on the diffusion barrier layer and the gate structure.
    Type: Application
    Filed: July 23, 2008
    Publication date: January 28, 2010
    Applicant: International Business Machines Corporation
    Inventors: Kangguo Cheng, Bruce B. Doris
  • Patent number: 7652354
    Abstract: Disclosed is a semiconductor device and a method of manufacturing a semiconductor device. A semiconductor device may include an insulating layer and a metal interconnection. An insulating layer may include a first layer including fluorine and a second layer including SRO (silicon rich oxide) having a dangling bond. A metal interconnection may be formed over the insulating layer.
    Type: Grant
    Filed: November 1, 2006
    Date of Patent: January 26, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Tae Young Lee
  • Patent number: 7646080
    Abstract: A protective film structure (100) includes a base (110) and a resistive film (120) formed on a surface of the base. The base is comprised of amorphous boron nitride or amorphous boron carbide, and is formed on a surface of a substrate (10) to be protected. The resistive film includes an adhesive layer (121), an intermediate layer (122) and an outermost layer (123), which are formed on a surface of the base one on top of the other in that order.
    Type: Grant
    Filed: December 22, 2006
    Date of Patent: January 12, 2010
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Ga-Lane Chen
  • Patent number: 7646101
    Abstract: An insulating layer is formed on a semiconductor substrate, and has a through hole for via. A porous silica layer has a trench for interconnection communicating to the through hole for via, and is formed on the insulating layer in contact therewith. A conductive layer is formed in the through hole for via and in the trench for interconnection. The insulating layer is formed from a material containing carbon, hydrogen, oxygen, and silicon, and having absorption peak attributed to Si—CH3 bond in a range from at least 1260 cm?1 to at most 1280 cm?1 (around 1274 cm?1) when measured with FT-IR. Thus, a semiconductor device having a porous insulating layer in which depth of the trench for interconnection is readily controlled, a dielectric constant is low, and increase in leakage current is less likely, as well as a manufacturing method thereof can be obtained.
    Type: Grant
    Filed: March 28, 2007
    Date of Patent: January 12, 2010
    Assignees: Rohm Co., Ltd., NEC Corporation, Sanyo Electric Co., Ltd.
    Inventors: Ryotaro Yagi, Shinichi Chikaki, Yoshinori Shishida
  • Patent number: 7642612
    Abstract: It has been difficult to manufacture a semiconductor device equipped with a microstructure having a space, an electric circuit for controlling the microstructure, and the like over one substrate. In a semiconductor device, a microstructure and an electric circuit for controlling the microstructure can be provided over one substrate by manufacturing the microstructure in such a way that a structural layer having polycrystalline silicon obtained by laser crystallization or thermal crystallization using a metal element is formed and processed at low temperature. As the electric circuit, a wireless communication circuit for carrying out wireless communication with an antenna is given.
    Type: Grant
    Filed: June 15, 2006
    Date of Patent: January 5, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Konami Izumi, Mayumi Yamaguchi
  • Patent number: 7638859
    Abstract: Interconnects with harmonized stress and methods for fabricating the same. An interconnect comprises a substrate having a conductive member. A composite low-k dielectric layer interposed with at least one stress-harmonizing layer therein overlies the substrate. A conductive feature in the composite low-k dielectric layer passes through the at least one stress-harmonizing layer to electrically connect the conductive member.
    Type: Grant
    Filed: June 6, 2005
    Date of Patent: December 29, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yung-Cheng Lu, Ming-Hsing Tsai
  • Patent number: 7632702
    Abstract: The invention includes methods of depositing silver onto a metal selenide-comprising surface, and methods of forming a resistance variable device. In one implementation, a method of depositing silver onto a metal selenide-comprising surface includes providing a deposition chamber comprising a sputtering target and a substrate to be depositing upon. The target comprises silver, and the substrate comprises an exposed surface comprising metal selenide. Gaseous cesium is flowed to the target and a bombarding inert sputtering species is flowed to the target effective to sputter negative silver ions from the target. The sputtered negative silver ions are flowed to the exposed metal selenide-comprising surface effective to deposit a continuous and completely covering silver film on the exposed metal selenide of the substrate.
    Type: Grant
    Filed: August 11, 2008
    Date of Patent: December 15, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Allen McTeer
  • Publication number: 20090294925
    Abstract: A mechanically robust semiconductor structure with improved adhesion strength between a low-k dielectric layer and a dielectric-containing substrate is provided. In particular, the present invention provides a structure that includes a dielectric-containing substrate having an upper region including a treated surface layer which is chemically and physically different from the substrate; and a low-k dielectric material located on a the treated surface layer of the substrate. The treated surface layer and the low-k dielectric material form an interface that has an adhesion strength that is greater than 60% of the cohesive strength of the weaker material on either side of the interface. The treated surface is formed by treating the surface of the substrate with at least one of actinic radiation, a plasma and e-beam radiation prior to forming of the substrate the low-k dielectric material.
    Type: Application
    Filed: August 8, 2009
    Publication date: December 3, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Qinghuang Lin, Terry A. Spooner, Darshan D. Gandhi, Christy S. Tyberg
  • Publication number: 20090294926
    Abstract: A semiconductor structure. A hard mask layer is on a top substrate surface of a semiconductor substrate. The hard mask layer includes a hard mask layer opening through which a portion of the top substrate surface is exposed to a surrounding ambient. The hard mask layer includes a pad oxide layer on the top substrate surface, a nitride layer on the pad oxide layer, a BSG (borosilicate glass) layer on top of the nitride layer, and an ARC (anti-reflective coating) layer on top of the BSG layer. A BSG side wall surface of the BSG layer is exposed to the surrounding ambient through the hard mask layer opening.
    Type: Application
    Filed: August 10, 2009
    Publication date: December 3, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: June Cline, Dinh Dang, Mark Lagerquist, Jeffrey C. Maling, Lisa Y. Ninomiya, Bruce W. Porth, Steven M. Shank, Jessica A. Trapasso
  • Publication number: 20090294924
    Abstract: Electronic apparatus and methods of forming the electronic apparatus include a hafnium lanthanide oxynitride film on a substrate for use in a variety of electronic systems. The hafnium lanthanide oxynitride film may be structured as one or more monolayers. Metal electrodes may be disposed on a dielectric containing a hafnium lanthanide oxynitride film.
    Type: Application
    Filed: July 20, 2009
    Publication date: December 3, 2009
    Inventors: Leonard Forbes, Kie Y. Ahn, Arup Bhattacharyya
  • Publication number: 20090294923
    Abstract: A structure comprises at least one transistor on a substrate, an insulator layer over the transistor, and an ion stopping layer over the insulator layer. The ion stopping layer comprises a portion of the insulator layer that is damaged and has either argon ion damage or nitrogen ion damage.
    Type: Application
    Filed: May 29, 2008
    Publication date: December 3, 2009
    Applicant: International Business Machines Corporation
    Inventors: Huilong Zhu, Yanfeng Wang, Daewon Yang, Haujie Chen
  • Patent number: 7615491
    Abstract: Methods and compositions for electrolessly depositing Co, Ni, or alloys thereof onto a substrate in manufacture of microelectronic devices. Grain refiners, levelers, oxygen scavengers, and stabilizers for electroless Co and Ni deposition solutions.
    Type: Grant
    Filed: October 5, 2005
    Date of Patent: November 10, 2009
    Assignee: Enthone Inc.
    Inventors: Qingyun Chen, Charles Valverde, Vincent Paneccasio, Nicolai Petrov, Daniel Stritch, Christian Witt, Richard Hurtubise
  • Patent number: 7612453
    Abstract: A semiconductor device includes in an interconnect structure which includes a first interconnect made of a copper-containing metal, a first Cu silicide layer covering the upper portion of the first interconnect, a conductive first plug provided on the upper portion of the Cu silicide layer and connected to the first interconnect, a Cu silicide layer covering the upper portion of the first plug, a first porous MSQ film provided over the side wall from the first interconnect through the first plug and formed to cover the side wall of the first interconnect, the upper portion of the first interconnect, and the side wall of the first plug, and a first SiCN film disposed under the first porous MSQ film to contact with the lower portion of the side wall of the first interconnect and having the greater film density than the first porous MSQ film.
    Type: Grant
    Filed: February 23, 2006
    Date of Patent: November 3, 2009
    Assignee: NEC Electronics Corporation
    Inventor: Tatsuya Usami
  • Patent number: 7611988
    Abstract: Methods and compositions for electrolessly depositing Co, Ni, or alloys thereof onto a substrate in manufacture of microelectronic devices. Grain refiners, levelers, oxygen scavengers, and stabilizers for electroless Co and Ni deposition solutions.
    Type: Grant
    Filed: October 5, 2005
    Date of Patent: November 3, 2009
    Assignee: Enthone Inc.
    Inventors: Qingyun Chen, Charles Valverde, Vincent Paneccasio, Nicolai Petrov, Daniel Stritch, Christian Witt, Richard Hurtubise
  • Patent number: 7611987
    Abstract: Methods and compositions for electrolessly depositing Co, Ni, or alloys thereof onto a substrate in manufacture of microelectronic devices. Grain refiners, levelers, oxygen scavengers, and stabilizers for electroless Co and Ni deposition solutions.
    Type: Grant
    Filed: October 5, 2005
    Date of Patent: November 3, 2009
    Assignee: Enthone Inc.
    Inventors: Qingyun Chen, Charles Valverde, Vincent Paneccasio, Nicolai Petrov, Daniel Stritch, Christian Witt, Richard Hurtubise
  • Publication number: 20090267198
    Abstract: The present invention provides a multilayer wiring technology by which high adhesiveness and high insulation reliability between wirings are obtained, while maintaining effective low capacitance between wirings. A semiconductor device is characterized in that a first insulating film is an insulating film formed of at least one layer which contains a siloxane structure containing silicon, oxygen and carbon; the siloxane structure in the inner part of the first insulating film contains a larger number of carbon atoms than the number of silicon atoms; and a modified layer which containing a smaller number of carbon atoms and a larger number of oxygen atoms per unit volume than the inner part of the first insulating film is formed on at least one of an interface between the first insulating film and the metal and an interface between the first insulating film and a second insulating film.
    Type: Application
    Filed: May 16, 2007
    Publication date: October 29, 2009
    Applicant: NEC CORPORATION
    Inventors: Munehiro Tada, Hiroto Ohtake, Fuminori Ito, Yoshihiro Hayashi, Hironori Yamamoto
  • Publication number: 20090261402
    Abstract: A semiconductor charge storage device includes a semiconductor substrate having a surface region. The semiconductor substrate is characterized by a first conductivity type. A charge trapping material overlies and is in contact with at least a portion of the surface region of the semiconductor substrate. The charge trapping material is characterized by a first dielectric constant and by a first charge trapping capability. The first dielectric constant is higher than a dielectric constant associated with silicon oxide. A dielectric material overlies and is in contact with at least a portion of the charge trapping material. The dielectric material is formed using a conversion of a portion of the charge trapping material for providing a second charge trapping capability. The device also includes a conductive material overlying the second dielectric. The conductive material is capable of receiving an electrical signal to cause electrical charges being trapped in the semiconductor charge storage device.
    Type: Application
    Filed: April 18, 2008
    Publication date: October 22, 2009
    Applicant: Macronix International Co., Ltd.
    Inventor: Chao-I Wu
  • Publication number: 20090243049
    Abstract: Enhanced efficiency of a stress relaxation implantation process may be achieved by depositing a first layer of reduced thickness and relaxing the same at certain device regions, thereby obtaining an enhanced amount of substantially relaxed dielectric material in close proximity to the transistor under consideration, wherein a desired high amount of stressed dielectric material may be obtained above other transistors by performing a further deposition process. Hence, the negative effect of the highly stressed dielectric material for specific transistors, for instance in densely packed device regions, may be significantly reduced by depositing the highly stressed dielectric material in two steps with an intermediate relaxation implantation process.
    Type: Application
    Filed: November 17, 2008
    Publication date: October 1, 2009
    Inventors: Kai Frohberg, Uwe Griebenow, Katrin Reiche, Heike Berthold
  • Publication number: 20090236650
    Abstract: Electronic apparatus and methods of forming the electronic apparatus include a tantalum lanthanide oxynitride film on a substrate for use in a variety of electronic systems. The tantalum lanthanide oxynitride film may be structured as one or more monolayers. Metal electrodes may be disposed on a dielectric containing a tantalum lanthanide oxynitride film.
    Type: Application
    Filed: May 21, 2009
    Publication date: September 24, 2009
    Inventors: Leonard Forbes, Kie Y. Ahn, Arup Bhattacharyya
  • Patent number: 7589398
    Abstract: A method and structure for creating embedded metal features includes embedded trace substrates wherein bias and signal traces are embedded in a first surface of the embedded trace substrate and extend into the body of the embedded trace substrate. The bias trace and signal trace trenches are formed into the substrate body using LASER ablation, or other ablation, techniques. Using ablation techniques to form the bias and signal trace trenches allows for extremely accurate control of the depth, width, shape, and horizontal displacement of the bias and signal trace trenches. As a result, the distance between the bias traces and the signal traces eventually formed in the trenches, and therefore the electrical properties, such as impedance and noise shielding, provided by the bias traces, can be very accurately controlled.
    Type: Grant
    Filed: October 4, 2006
    Date of Patent: September 15, 2009
    Assignee: Amkor Technology, Inc.
    Inventors: Ronald Patrick Huemoeller, Sukianto Rusli, David Jon Hiner, Nozad Osman Karim
  • Publication number: 20090224374
    Abstract: A dielectric cap, interconnect structure containing the same and related methods are disclosed. The inventive dielectric cap includes a multilayered dielectric material stack wherein at least one layer of the stack has good oxidation resistance, Cu diffusion and/or substantially higher mechanical stability during a post-deposition curing treatment, and including Si—N bonds at the interface of a conductive material such as, for example, Cu. The dielectric cap exhibits a high compressive stress and high modulus and is still remain compressive stress under post-deposition curing treatments for, for example: copper low k back-end-of-line (BEOL) nanoelectronic devices, leading to less film and device cracking and improved reliability.
    Type: Application
    Filed: March 5, 2008
    Publication date: September 10, 2009
    Applicants: INTERNATIONAL BUSINESS MACHINES CORPORATION, ADVANCED MICRO DEVICES, INC., APPLIED MATERIALS, INC.
    Inventors: Ritwik Bhatia, Griselda Bonilla, Alfred Grill, Joshua L. Herman, Son Van Nguyen, E. Todd Ryan, Hosadurga Shobha
  • Publication number: 20090224260
    Abstract: An object of the present invention is to prevent the deterioration of a TFT (thin film transistor). The deterioration of the TFT by a BT test is prevented by forming a silicon oxide nitride film between the semiconductor layer of the TFT and a substrate, wherein the silicon oxide nitride film ranges from 0.3 to 1.6 in a ratio of the concentration of N to the concentration of Si.
    Type: Application
    Filed: March 6, 2009
    Publication date: September 10, 2009
    Inventors: Masahiko Hayakawa, Mitsunori Sakama, Satoshi Toriumi
  • Publication number: 20090206454
    Abstract: A method for fabricating a semiconductor device includes the steps of: forming a first insulating film on a semiconductor substrate; removing part of the first insulating film; forming a second insulating film having a leakage current density higher than that of the first insulating film on a region where the part of the first insulating film has been removed on the semiconductor substrate; forming an undoped semiconductor film on the first and second insulating films; implanting an impurity into part of the undoped semiconductor film, thereby defining semiconductor regions of a first conductivity type dotted as discrete islands; forming a third insulating film on the semiconductor regions of the first conductivity type and the undoped semiconductor film; and removing part of the third insulating film by wet etching. At least the second insulating film is formed under the semiconductor regions of the first conductivity type.
    Type: Application
    Filed: April 16, 2009
    Publication date: August 20, 2009
    Applicant: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.
    Inventors: Naohisa SENGOKU, Michikazu Matsumoto
  • Publication number: 20090201228
    Abstract: A photo sensor that is capable of generating a photo sensing signal corresponding only to ambient light by comprehending changes in electrical current depending on the change of temperature and compensating for the electrical current according the change of temperature and a flat panel display device using the photo sensor, and the photo sensor including a photo sensing unit generating a first current corresponding to an ambient light and a second current corresponding to an ambient temperature; a temperature compensating unit including a dark diode generating a third current having a same magnitude as the second current, corresponding to the ambient temperature due to block of light to be incident; and a buffer unit outputting a light sensing signal corresponding to current having the same magnitude as the first current by subtracting the third current generated in the temperature compensating unit from the second current generated in the photo sensing unit.
    Type: Application
    Filed: February 13, 2009
    Publication date: August 13, 2009
    Inventors: Do-Youb Kim, Matsueda Yojiro, Keum-Nam Kim
  • Patent number: 7564100
    Abstract: The present invention provides an SOS wafer comprising a non-transparent polysilicon layer provided on a back surface of a sapphire substrate, a silicon nitride layer which protects the polysilicon layer, and a stress relaxing film which cancels stress produced in the silicon nitride layer, wherein the silicon nitride layer and the stress relaxing film are provided on the back surface side.
    Type: Grant
    Filed: March 17, 2006
    Date of Patent: July 21, 2009
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Kimiaki Shimokawa
  • Publication number: 20090179255
    Abstract: The method for forming a triple gate oxide of a semiconductor device includes the steps of defining a first region, a second region and a third region, forming a first oxide film and forming a second oxide film on the first oxide film, blocking the first region and selectively removing portions the second oxide film and the first oxide film, forming a third oxide film on the semiconductor substrate, blocking the first region and the second region and selectively removing a portion of the third oxide film and forming a fourth oxide film on the semiconductor substrate and then forming a nitride film thereon, wherein a gate oxide having a triple structure is formed in the first region, a gate oxide having a double structure is formed in the second region and a gate oxide having a double structure is formed in the third region.
    Type: Application
    Filed: December 29, 2008
    Publication date: July 16, 2009
    Inventor: Jung Goo Park
  • Publication number: 20090166813
    Abstract: A method for manufacturing a semiconductor device includes forming a first semiconductor layer on a semiconductor substrate, forming a second semiconductor layer on the first semiconductor layer, etching the second semiconductor layer and the first semiconductor layer to form a first groove passing through the second semiconductor layer and the first semiconductor layer, forming a first support having tensile stress in the first groove, etching the second semiconductor layer to form a second groove that exposes the first semiconductor layer, forming a cavity between the second semiconductor layer and the semiconductor substrate by etching the first semiconductor layer through the second groove, forming an insulating film in the cavity, and forming a buried film having tensile stress in the second groove.
    Type: Application
    Filed: December 23, 2008
    Publication date: July 2, 2009
    Applicant: SEIKO EPSON CORPORATION
    Inventor: Yusuke MATSUZAWA
  • Publication number: 20090152620
    Abstract: The use of atomic layer deposition (ALD) to form a nanolaminate dielectric of gadolinium oxide (Gd2O3) and scandium oxide (Sc2O3) acting as a single dielectric layer with a formula of GdScO3, and a method of fabricating such a dielectric layer, is described that produces a reliable structure with a high dielectric constant (high k) for use in a variety of electronic devices. The dielectric structure is formed by depositing gadolinium oxide by atomic layer deposition onto a substrate surface using precursor chemicals, followed by depositing scandium oxide onto the substrate using precursor chemicals, and repeating to form the thin laminate structure. Such a dielectric may be used as gate insulator of a MOSFET, a capacitor dielectric in a DRAM, as tunnel gate insulators in flash memories, or as a NROM dielectric, because the high dielectric constant (high k) of the film provides the functionality of a much thinner silicon dioxide film.
    Type: Application
    Filed: February 17, 2009
    Publication date: June 18, 2009
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 7544607
    Abstract: A semiconductor device having a thin film formed by atomic layer deposition and a method for fabricating the same, wherein the semiconductor device includes a liner layer formed on an internal wall and bottom of a trench, gate spacers formed on the sidewalls of gate stack patterns functioning as a gate line, a first bubble prevention layer formed on the gate spacers and the gate stack patterns, bit line spacers formed on the sidewalls of bit line stack patterns functioning as a bit line, and a second bubble prevention layer formed on the bit line spacers and the gate stack patterns and at least one of the above is formed of a multi-layer of a silicon nitride layer and a silicon oxide layer, or a multi-layer of a silicon oxide layer and a silicon nitride layer, thereby filling the trench, gate stack patterns, or bit line stack patterns without a void.
    Type: Grant
    Filed: November 18, 2004
    Date of Patent: June 9, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yeong-kwan Kim, Dong-chan Kim, Seung-hwan Lee, Young-wook Park
  • Patent number: 7545004
    Abstract: A method for manufacturing a device includes mapping extreme vertical boundary conditions of a mask layer based on vertical edges of a deposited first layer and a second layer. The mask layer is deposited over portions of the second layer based on the mapping step. The exposed area of the second layer is etched to form a smooth boundary between the first layer and the second layer. The resist layer is stripped. The resulting device is an improved PFET device and NFET device with a smooth boundary between the first and second layers such that a contact can be formed at the smooth boundary without over etching other areas of the device.
    Type: Grant
    Filed: April 12, 2005
    Date of Patent: June 9, 2009
    Assignee: International Business Machines Corporation
    Inventors: Haining S. Yang, Eng Hua Lim
  • Publication number: 20090140396
    Abstract: By forming an etch control material with increased thickness on a first stressed dielectric layer in a dual stress liner approach, the surface topography may be smoothed prior to the deposition of the second stressed dielectric material, thereby allowing the deposition of an increased amount of stressed material while not contributing to yield loss caused by deposition-related defects.
    Type: Application
    Filed: June 9, 2008
    Publication date: June 4, 2009
    Inventors: Ralf Richter, Thorsten Kammler, Heike Salz, Volker Grimm
  • Publication number: 20090134499
    Abstract: The use of atomic layer deposition (ALD) to form a dielectric layer of hafnium nitride (Hf3N4) and hafnium oxide (HfO2) and a method of fabricating such a combination gate and dielectric layer produces a reliable structure for use in a variety of electronic devices. Forming the dielectric structure includes depositing hafnium oxide using precursor chemicals, followed by depositing hafnium nitride using precursor chemicals, and repeating to form the laminate structure. Alternatively, the hafnium nitride may be deposited first followed by the hafnium nitride. Such a dielectric layer may be used as the gate insulator of a MOSFET, a capacitor dielectric in a DRAM, or a tunnel gate insulator in flash memories, because the high dielectric constant (high-k) of the film provides the functionality of a thinner silicon dioxide film, and because of the reduced leakage current when compared to an electrically equivalent thickness of silicon dioxide.
    Type: Application
    Filed: January 26, 2009
    Publication date: May 28, 2009
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Publication number: 20090134436
    Abstract: The present invention proposes a semiconductor device, its manufacturing method and to an electronic apparatus thereof equipped with the semiconductor device where it becomes possible to make a CMOS type solid-state imaging device, an imager area formed with a MOS transistor of an LDD structure without having a metal silicide layer of a refractory metal, an area of DRAM cells and the like into a single semiconductor chip. According to the present invention, a semiconductor device is constituted such that an insulating film having a plurality of layers is used, sidewalls at the gate electrodes are formed by etchingback the insulating film of the plurality of layers or a single layer film in the region where metal silicide layers are formed and in the region where the metal silicide layers are not formed, sidewalls composed of an upper layer insulating film is formed on a lower layer insulating film whose surface is coated or the insulating film of the plurality of layers remain unchanged.
    Type: Application
    Filed: January 29, 2009
    Publication date: May 28, 2009
    Applicant: Sony Corporation
    Inventors: Takashi NAGANO, Yasushi MORITA
  • Publication number: 20090127671
    Abstract: Embodiments relate to a method for forming a gate insulating layer, which may include forming a device isolation layer being divided into a device active region and a device isolation region, growing a first oxide layer at an entire surface of the semiconductor substrate as a gate insulating layer, performing a first annealing process to form a diffusion barrier layer an interface between the first oxide layer and the device active region, etching and removing a first oxide layer and a diffusion barrier layer of the core power source wiring region by masking the input/output power source wiring region, growing a second oxide layer on the core power source wiring region, and performing a second annealing process to form an NO gate oxide layer on which an N-rich oxide layer at an interface of the core power source wiring region.
    Type: Application
    Filed: January 12, 2009
    Publication date: May 21, 2009
    Inventor: Young Seong Lee
  • Patent number: 7531891
    Abstract: A semiconductor device having improved adhesiveness between films composing an interlayer insulating film is presented by providing multilayered films in the interlayer insulating films having film density distribution, in which the film density is gradually changes. A SiOC film is deposited to a thickness of 300 nm via a plasma CVD process, in which a flow rate of trimethylsilane gas is stepwise increased. In this case, the film density of the deposited SiOC film is gradually decreased by stepwise increasing the flow rate of trimethylsilane gas. Since trimethylsilane contains methyl group, trimethylsilane has more bulky molecular structure in comparison with monosilane or the like. Thus, the film density is decreased by increasing the amount of trimethylsilane in the reactant gas.
    Type: Grant
    Filed: December 8, 2004
    Date of Patent: May 12, 2009
    Assignee: NEC Electronics Corporation
    Inventors: Koichi Ohto, Tatsuya Usami, Yoichi Sasaki
  • Publication number: 20090102026
    Abstract: A diffusion barrier layer is incorporated between a top semiconductor layer and buried oxide layer. The diffusion barrier layer blocks diffusion of dopants into or out of buried oxide layer. The diffusion barrier layer may comprise a dielectric material such as silicon oxynitride or a high-k gate dielectric material. Alternately, the diffusion barrier layer may comprise a semiconductor material such as SiC. Such materials provide less charge trapping than a silicon nitride layer, which causes a high level of interface trap density and charge in the buried oxide layer. Thus, diffusion of dopants from and into semiconductor devices through the buried oxide layer is suppressed by the diffusion barrier layer without inducing a high interface trap density or charge in the buried oxide layer.
    Type: Application
    Filed: October 18, 2007
    Publication date: April 23, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Junedong Lee, Dominic J. Schepis, Jeffrey W. Sleight, Zhibin Ren
  • Publication number: 20090091003
    Abstract: Provided are an insulator that has an energy band gap of 2 eV or more and undergoes an abrupt MIT without undergoing a structural change, a method of manufacturing the insulator, and a device using the insulator. The insulator is abruptly transitioned from an insulator phase into a metal phase by an energy change between electrons without undergoing a structural change.
    Type: Application
    Filed: October 16, 2006
    Publication date: April 9, 2009
    Applicant: Electronics and Telecommunications Research
    Inventors: Jung Wook Lim, Sun Jin Yun, Hyun Tak Kim, Byung Gyu Chae, Bong Jun Kim, Kwang-Yong Kang
  • Publication number: 20090085173
    Abstract: The present disclosure generally relates to forming a metallization layer in a semiconductor device. In particular, this disclosure concerns the damascene inlay technique in low-k dielectric layers. Etching trenches and vias in low-k dielectric materials leads to uneven and porous sidewalls of the trenches and vias due to the porous nature of the low-k dielectric materials. Thus, smooth and dense sidewalls cannot be achieved, which is a prerequisite for an effective barrier layer, which prevents copper from being diffused into the low-k dielectric material. As a consequence, process tolerances are high and the reliability of the semiconductor device is reduced. The present disclosure overcomes these drawbacks by a surface treatment of the sidewalls of trenches and vias in order to densify the surface such that the following barrier layer may more effectively prevent copper from diffusing into the low-k or ultra high-k dielectric material.
    Type: Application
    Filed: March 27, 2008
    Publication date: April 2, 2009
    Inventors: Juergen Boemmels, Frank Feustel, Ralf Richter
  • Publication number: 20090079016
    Abstract: The present invention provides a method for fabricating a dielectric stack in an integrated circuit comprising the steps of (i) forming a high-k dielectric layer on a semiconductor substrate, (ii) subjecting the semiconductor substrate with the high-k dielectric layer to a nitrogen comprising vapor phase reactant and silicon comprising vapor phase reactant in a plasma-enhanced chemical vapor deposition process (PECVD) or a plasma-enhanced atomic layer chemical vapor deposition (PE ALCVD) process. Furthermore, the present invention provides a dielectric stack in an integrated circuit comprising (i) a high-k dielectric layer comprising at least a high-k material, (ii) a dielectric layer comprising at least silicon and nitrogen; (iii) an intermediate layer disposed between the high-k dielectric layer and the dielectric layer, the intermediate layer comprising the high-k material, silicon, and nitrogen.
    Type: Application
    Filed: November 17, 2008
    Publication date: March 26, 2009
    Applicants: Interuniversitair Microelektronica Centrum vzw, ASM America Inc.
    Inventors: Peijun Jerry Chen, Tsai Wilman, Mathieu Caymax, Jan Willem Maes
  • Patent number: 7501691
    Abstract: A method of depositing dielectric material into sub-micron spaces and resultant structures is provided. After a trench is etched in the surface of a wafer, a liner layer preferably is deposited into the trench. An anisotropic plasma process is then performed on the trench. A silicon layer may be deposited on the base of the trench during the plasma process, or the plasma can treat the liner layer. The trench is then filled with a spin-on precursor. A densification or reaction process is then applied to convert the spin-on material into an insulator, and oxidizing the silicon rich layer on the base of the trench. The resulting trench has a consistent etch rate from top to bottom of the trench.
    Type: Grant
    Filed: August 28, 2007
    Date of Patent: March 10, 2009
    Assignee: Micron Technology, Inc.
    Inventors: John A. Smythe, III, William Budge
  • Publication number: 20090051014
    Abstract: A method of fabricating a semiconductor device having a silicide layer and a semiconductor device fabricated by the method are provided. The method may involve providing a semiconductor substrate having an active region and a field region, and forming a plurality of gate patterns on each of the active region and the field region. The plurality of gate patterns may each have a sidewall spacer. The plurality of gate patterns on the field region include at least two adjacent gate patterns. The method may involve forming a silicide blocking layer pattern that masks a portion of the field region that exists between each of the adjacent gate patterns on the field region. The method may also involve forming a silicide layer on the active region and any of the plurality of the gate patterns that are not masked by the silicide blocking layer pattern.
    Type: Application
    Filed: October 15, 2008
    Publication date: February 26, 2009
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Ki-seog Youn, Jong-hyon Ahn, Su-gon Bae
  • Patent number: 7492001
    Abstract: A memory device may include a source region and a drain region formed in a substrate and a channel region formed in the substrate between the source and drain regions. The memory device may further include a first oxide layer formed over the channel region, the first oxide layer having a first dielectric constant, and a charge storage layer formed upon the first oxide layer. The memory device may further include a second oxide layer formed upon the charge storage layer, a layer of dielectric material formed upon the second oxide layer, the dielectric material having a second dielectric constant that is greater than the first dielectric constant, and a gate electrode formed upon the layer of dielectric material.
    Type: Grant
    Filed: March 23, 2005
    Date of Patent: February 17, 2009
    Assignee: Spansion LLC
    Inventors: Wei Zheng, Mark Randolph, Hidehiko Shiraiwa