Multiple Layers Patents (Class 257/635)
  • Patent number: 7489020
    Abstract: An elevated containment structure in the shape of a wafer edge ring surrounding a surface of a semiconductor wafer is disclosed, as well as methods of forming and using such a structure. In one embodiment, a wafer edge ring is formed using a stereolithography (STL) process. In another embodiment, a wafer edge ring is formed with a spin coating apparatus provided with a wafer edge exposure (WEE) system. In further embodiments, a wafer edge ring is used to contain a liquid over a wafer active surface during a processing operation. In one embodiment, the wafer edge ring contains a liquid having a higher refractive index than air while exposing a photoresist on the wafer by immersion lithography. In another embodiment, the wafer edge ring contains a curable liquid material while forming a chip scale package (CSP) sealing layer on the wafer.
    Type: Grant
    Filed: April 27, 2006
    Date of Patent: February 10, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Peter A. Benson
  • Publication number: 20090032909
    Abstract: Structures and a method for forming the same. The structure includes a semiconductor substrate, a transistor on the semiconductor substrate, and N interconnect layers on top of the semiconductor substrate, N being a positive integer. The transistor is electrically coupled to the N interconnect layers. The structure further includes a first dielectric layer on top of the N interconnect layers and P crack stop regions on top of the first dielectric layer, P being a positive integer. The structure further includes a second dielectric layer on top of the first dielectric layer. Each crack stop region of the P crack stop regions is completely surrounded by the first dielectric layer and the second dielectric layer. The structure further includes an underfill layer on top of the second dielectric layer. The second dielectric layer is sandwiched between the first dielectric layer and the underfill layer.
    Type: Application
    Filed: August 3, 2007
    Publication date: February 5, 2009
    Inventors: Peter J. Brofman, Jon Alfred Casey, Ian D. Melville, David L. Questad, Wolfgang Sauter, Thomas Anthony Wassick
  • Publication number: 20090032910
    Abstract: Dielectric layers containing a dielectric layer including lanthanum and hafnium and methods of fabricating such dielectric layers provide an insulating layer in a variety of structures for use in a wide range of electronic devices.
    Type: Application
    Filed: July 22, 2008
    Publication date: February 5, 2009
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 7485949
    Abstract: A semiconductor device is disclosed. The device includes a substrate, a first porous SiCOH dielectric layer, a second porous SiCOH dielectric layer, and an oxide layer. The first porous SiCOH dielectric layer overlies the substrate. The second porous SiCOH dielectric layer overlies the first porous SiCOH dielectric layer. The oxide layer overlies the second porous SiCOH dielectric layer. The atomic percentage of carbon in the second porous SiCOH dielectric layer is between 16% and 22% of that in the first porous SiCOH dielectric layer.
    Type: Grant
    Filed: May 2, 2007
    Date of Patent: February 3, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chung-Chi Ko, Chia-Cheng Chou, Zhen-Cheng Wu, Keng-Chu Lin, Shwang-Ming Jeng
  • Patent number: 7482627
    Abstract: A crystalline semiconductor film in which the locations and sizes of crystal grains have been controlled, is prepared, and a TFT capable of high speed operation is realized by employing the crystalline semiconductor film as the channel forming region of the TFT. An organic resin film (2 in FIG. 1) having a predetermined shape is provided on a substrate (1), whereupon an inorganic insulating film (3) and an amorphous semiconductor film are formed. Subsequently, the amorphous semiconductor film is crystallized by laser annealing. The material and thickness of the organic resin film (2) in the predetermined shape or those of the inorganic insulating film (3) are properly regulated, whereby the cooling rate of the semiconductor film is lowered to form a first region (4a) in which crystal grain diameters are large.
    Type: Grant
    Filed: January 8, 2003
    Date of Patent: January 27, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Misako Nakazawa, Kenji Kasahara, Hisashi Ohtani
  • Publication number: 20090001526
    Abstract: By removing excess material of an interlayer dielectric material deposited by SACVD, the gap filling capabilities of this deposition technique may be exploited, while, on the other hand, negative effects of this material may be reduced. In other aspects, a buffer material, such as silicon dioxide, may be formed prior to depositing the interlayer dielectric material on the basis of SACVD, thereby creating enhanced uniformity during the deposition process when depositing the interlayer dielectric material on dielectric layers having different high intrinsic stress levels. Consequently, the reliability of the interlayer dielectric material may be enhanced while nevertheless maintaining the advantages provided by an SACVD deposition.
    Type: Application
    Filed: January 25, 2008
    Publication date: January 1, 2009
    Inventors: Frank Feustel, Kai Frohberg, Carsten Peters
  • Publication number: 20090001525
    Abstract: The present invention discloses a method including: providing a Group III-V component semiconductor material; forming a first layer over a surface of the Group III-V component semiconductor material, the first layer to unpin a Fermi level at the surface; forming a second layer over the first layer, the second layer for scaling an equivalent oxide thickness (EOT); and annealing the first layer before or after forming the second layer to remove bulk trap defects in the first layer.
    Type: Application
    Filed: June 29, 2007
    Publication date: January 1, 2009
    Inventors: Jun-Fei Zheng, George Chen, Wilman Tsai
  • Patent number: 7466007
    Abstract: A new method is provided for the creation of interconnect lines. Fine line interconnects are provided in a first layer of dielectric overlying semiconductor circuits that have been created in or on the surface of a substrate. A layer of passivation is deposited over the layer of dielectric, a thick second layer of dielectric is created over the surface of the layer of passivation. Thick and wide interconnect lines are created in the thick second layer of dielectric. The first layer of dielectric may also be eliminated, creating the wide thick interconnect network on the surface of the layer of passivation that has been deposited over the surface of a substrate.
    Type: Grant
    Filed: September 17, 2007
    Date of Patent: December 16, 2008
    Assignee: Megica Corporation
    Inventors: Mou-Shiung Lin, Jin-Yuan Lee
  • Publication number: 20080296739
    Abstract: Provided is a method of forming a thin film structure and a stack structure comprising the thin film. The method may include forming a crystalline AlxOy film, forming a LaAlO film on the crystalline AlxOy film, and crystallizing the LaAlO film by annealing the LaAlO film.
    Type: Application
    Filed: December 27, 2007
    Publication date: December 4, 2008
    Inventors: Eun-ha Lee, Sang-moo Choi, Kwang-soo Seol, Sang-jin Park
  • Publication number: 20080296740
    Abstract: A method for manufacturing a semiconductor device is provided that can reduce warping of manufactured products after the formation of a final protective film. The method includes, in a semiconductor device having a semiconductor substrate provided with wiring and a final protective film formed on the wiring, forming a first protective film on the wiring, forming a second protective film having tensile stress on the first protective film, and removing the first protective film and the second protective film from contact regions of the wiring.
    Type: Application
    Filed: May 19, 2008
    Publication date: December 4, 2008
    Applicant: Oki Electric Industry Co., Ltd.
    Inventor: Hiroyuki Kawano
  • Publication number: 20080265310
    Abstract: In one aspect, a memory cell includes a plurality of dielectric layers located within a charge storage gate structure. At least one of the dielectric layers includes an dielectric material including oxygen, and nano regions including oxygen embedded in the dielectric material, where an oxygen concentration of the dielectric material is the greater than an oxygen concentration of the nano regions. In another aspect, at least one of the dielectric layers includes a dielectric material and nano regions embedded in the dielectric material, where an atomic composition of the dielectric material is the same as the atomic composition of the nano regions, and a density of the dielectric material is the greater than a density of the nano regions.
    Type: Application
    Filed: April 29, 2008
    Publication date: October 30, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sun-Jung Kim, Young-Sun Kim, Se-Hoon Oh, Eun-Ha Lee, Young-Su Chung
  • Patent number: 7436009
    Abstract: Via hole and trench structures and fabrication methods are disclosed. The structure includes a conductive layer in a dielectric layer, and a via structure in the dielectric layer contacting a portion of a surface of the conductive layer. The via structure includes the conductive liner contacting the portion of the surface of the first conductive layer. A trench structure is formed on the via structure in the dielectric without the conductive liner layer in the trench.
    Type: Grant
    Filed: April 4, 2007
    Date of Patent: October 14, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yi-Chen Huang, Chien-Chung Fu, Ming-Hong Hsieh, Hui Ouyang, Yi-Nien Su, Hun-Jan Tao
  • Publication number: 20080237581
    Abstract: An electronic device including in any sequence: (a) a semiconductor layer; and (b) a phase-separated dielectric structure comprising a lower-k dielectric polymer and a higher-k dielectric polymer, wherein the lower-k dielectric polymer is in a higher concentration than the higher-k dielectric polymer in a region of the dielectric structure closest to the semiconductor layer.
    Type: Application
    Filed: April 2, 2007
    Publication date: October 2, 2008
    Applicant: XEROX CORPORATION
    Inventors: Yiliang Wu, Hadi K. Mahabadi, Beng S. Ong, Paul F. Smith
  • Patent number: 7425763
    Abstract: An electronic apparatus which includes a wiring substrate which includes wiring conductors, and a plurality of semiconductor bare chips that are formed on the wiring substrate. The semiconductor bare chips include a processor for processing data and a circuit having a checking function for detecting faults of the processor.
    Type: Grant
    Filed: March 7, 2007
    Date of Patent: September 16, 2008
    Assignee: Hitachi, Ltd.
    Inventors: Nobuyasu Kanekawa, Hirokazu Ihara, Masatsugu Akiyama, Kiyoshi Kawabata, Hisayoshi Yamanaka, Tetsuya Okishima
  • Publication number: 20080217747
    Abstract: Semiconductor structures, such as, for example, field effect transistors (FETs) and/or metal-oxide-semiconductor capacitor (MOSCAPs), are provided in which the workfunction of a conductive electrode stack is changed by introducing metal impurities into a metal-containing material layer which, together with a conductive electrode, is present in the electrode stack. The choice of metal impurities depends on whether the electrode is to have an n-type workfunction or a p-type workfunction. The present invention also provides a method of fabricating such semiconductor structures.
    Type: Application
    Filed: May 22, 2008
    Publication date: September 11, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Michael P. Chudzik, Bruce B. Doris, Supratik Guha, Rajarao Jammy, Vijay Narayanan, Vamsi K. Paruchuri, Yun Y. Wang, Keith Kwong Hon Wong
  • Patent number: 7422927
    Abstract: The invention includes methods of depositing silver onto a metal selenide-comprising surface, and methods of forming a resistance variable device. In one implementation, a method of depositing silver onto a metal selenide-comprising surface includes providing a deposition chamber comprising a sputtering target and a substrate to be depositing upon. The target comprises silver, and the substrate comprises an exposed surface comprising metal selenide. Gaseous cesium is flowed to the target and a bombarding inert sputtering species is flowed to the target effective to sputter negative silver ions from the target. The sputtered negative silver ions are flowed to the exposed metal selenide-comprising surface effective to deposit a continuous and completely covering silver film on the exposed metal selenide of the substrate.
    Type: Grant
    Filed: June 23, 2006
    Date of Patent: September 9, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Allen McTeer
  • Publication number: 20080211065
    Abstract: Semiconductor devices and methods of manufacture thereof are disclosed. In one embodiment, a method of fabricating a material layer of a semiconductor device includes providing a workpiece, and forming a ZrO2 layer over the workpiece. The method includes forming at least one monoclinic crystalline phase-minimizing material layer for the ZrO2 layer over the workpiece.
    Type: Application
    Filed: March 2, 2007
    Publication date: September 4, 2008
    Inventor: Shrinivas Govindarajan
  • Patent number: 7420264
    Abstract: An optical device having a high reflector tunable stress coating includes a micro-electromechanical system (MEMS) platform, a mirror disposed on the MEMS platform, and a multiple layer coating disposed on the mirror. The multiple layer coating includes a layer of silver (Ag), a layer of silicon dioxide (SiO2) deposited on the layer of Ag, a layer of intrinsic silicon (Si) deposited on the layer of SiO2, and a layer of silicon oxynitride (SiOxNy) deposited on the layer of Si. The concentration of nitrogen is increased and/or decreased to tune the stress (e.g., tensile, none, compressive).
    Type: Grant
    Filed: April 7, 2006
    Date of Patent: September 2, 2008
    Assignee: Intel Corporation
    Inventor: Michael Goldstein
  • Patent number: 7410899
    Abstract: Methods and compositions for electrolessly depositing Co, Ni, or alloys thereof onto a substrate in manufacture of microelectronic devices. Grain refiners, levelers, oxygen scavengers, and stabilizers for electroless Co and Ni deposition solutions.
    Type: Grant
    Filed: September 20, 2005
    Date of Patent: August 12, 2008
    Assignee: Enthone, Inc.
    Inventors: Qingyun Chen, Charles Valverde, Vincent Paneccasio, Nicolai Petrov, Daniel Stritch, Christian Witt, Richard Hurtubise
  • Publication number: 20080185631
    Abstract: A semiconductor device comprises a silicate interface layer and a high-k dielectric layer overlying the silicate interface layer. The high-k dielectric layer comprises metal alloy oxides.
    Type: Application
    Filed: April 4, 2008
    Publication date: August 7, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jong-Ho LEE, Nae-In LEE
  • Publication number: 20080179714
    Abstract: A method comprises forming a material over a substrate and patterning the material to remove portions of the material and expose an underlying portion of the substrate. The method further includes performing an oxidation process to form an oxide layer over the exposed portion of the substrate and at an interface between the material and the substrate. A circuit comprises a non-critical device and an oxide formed as part of this non-critical device. A high-K dielectric material is formed over a substrate as part of the critical device within the circuit. An oxide based interface is provided between the high-K dielectric material and an underlying substrate. A second method forms a nitride or oxynitride as the first material.
    Type: Application
    Filed: January 25, 2007
    Publication date: July 31, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Anthony I. Chou, Renee T. Mo, Shreesh Narasimha
  • Patent number: 7405466
    Abstract: A method of simultaneously bonding components, comprising the following steps. At least first, second and third components are provided and comprise: at least one glass component; and at least one conductive or semiconductive material component. The order of stacking of the components is determined to establish interfaces between the adjacent components. A hydrogen-free amorphous film is applied to one of the component surfaces at each interface comprising an adjacent: glass component; and conductive or semiconductive component. A sol gel with or without alkaline ions film is applied to one of the component surfaces at each interface comprising an adjacent: conductive or semiconductive component; and conductive or semiconductive component. The components are simultaneously anodically bonded in the determined order of stacking.
    Type: Grant
    Filed: December 21, 2006
    Date of Patent: July 29, 2008
    Assignee: Agency for Science, Technology and Research
    Inventors: Jun Wei, Stephen Chee Khuen Wong, Yongling Wu, Fern Lan Ng
  • Publication number: 20080164582
    Abstract: Semiconductor devices and methods of manufacture thereof are disclosed. In one embodiment, a method of fabricating a semiconductor device includes providing a workpiece, and forming a dielectric layer over the workpiece. The dielectric layer comprises a crystalline phase. The method includes forming an electrode material over the dielectric layer.
    Type: Application
    Filed: January 5, 2007
    Publication date: July 10, 2008
    Inventor: Shrinivas Govindarajan
  • Patent number: 7396777
    Abstract: Methods of fabricating high-k dielectric layers having reduced impurities for use in semiconductor applications are disclosed. The methods include the steps of: forming a stacked dielectric layer having a first dielectric layer and a second dielectric layer formed on a semiconductor substrate using an ALD method, in combination with a post-treatment step performed to the stacked dielectric layer. The steps of forming the stacked dielectric layer and performing the post-treatment are repeated at least once, thereby fabricating the high-k dielectric layer.
    Type: Grant
    Filed: April 15, 2005
    Date of Patent: July 8, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyung-Suk Jung, Jong-Ho Lee, Ha-Jin Lim, Jae-Eun Park, Yun-Seok Kim, Jong-Ho Yang
  • Patent number: 7396718
    Abstract: A technique is provided that allows the formation of contact etch stop layers having different intrinsic stress for different transistors, while substantially avoiding any device degradation owing to the partial removal of the contact etch stop layer. Hereby, an additional thin etch stop layer is provided prior to the formation of the contact etch stop layers, thereby substantially maintaining the integrity of metal silicide regions, when a portion of an initially deposited contact etch stop layer is removed.
    Type: Grant
    Filed: June 10, 2005
    Date of Patent: July 8, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kai Frohberg, Matthias Schaller, Joerg Hohage, Holger Schuehrer
  • Patent number: 7397073
    Abstract: The present invention provides a semiconducting device including a gate dielectric atop a semiconducting substrate, the semiconducting substrate containing source and drain regions adjacent the gate dielectric; a gate conductor atop the gate dielectric; a conformal dielectric passivation stack positioned on at least the gate conductor sidewalls, the conformal dielectric passivation stack comprising a plurality of conformal dielectric layers, wherein no electrical path extends entirely through the stack; and a contact to the source and drain regions, wherein the discontinuous seam through the conformal dielectric passivation stack substantially eliminates shorting between the contact and the gate conductor. The present invention also provides a method for forming the above-described semiconducting device.
    Type: Grant
    Filed: November 22, 2004
    Date of Patent: July 8, 2008
    Assignee: International Business Machines Corporation
    Inventors: Brett H. Engel, Stephen M. Lucarini, John D. Sylvestri, Yun-Yu Wang
  • Publication number: 20080157290
    Abstract: A method for fabricating a semiconductor device having a non-salicide region is provided. In one embodiment, the method includes forming a non-salicide buffer oxide layer on a substrate having an isolation layer formed therein, forming a first photoresist pattern on the non-salicide buffer oxide layer to define a first region, implanting silicon ions into the first region, removing the first photoresist pattern, forming a silicon oxide layer on the first region by performing a thermal oxidization process, forming a second photoresist pattern on the silicon oxide layer, forming a non-salicide region on an upper side of the substrate, on which the silicon oxide layer has been formed, by performing a wet etch process using the second photoresist pattern as a mask, and removing the second photoresist pattern.
    Type: Application
    Filed: December 18, 2007
    Publication date: July 3, 2008
    Inventor: Eunjong SHIN
  • Publication number: 20080157289
    Abstract: A method of processing a semiconductor structure is provided. The method includes forming a polish stop layer over one or more features on a substrate; forming a first dielectric layer over the polish stop layer, a valley portion of the first dielectric layer being just above a top of the polish stop layer; and polishing the dielectric layer down to the top of the polish stop layer. By forming a just enough dielectric layer to allow gap-fill on the substrate and polishing the dielectric layer down to the top of the polish stop layer, the method can reduce the cost and controls associated with forming the first dielectric layer.
    Type: Application
    Filed: December 27, 2006
    Publication date: July 3, 2008
    Applicant: SPANSION LLC
    Inventors: Chris A. Nauert, Kelley Kyle Higgins, Sr.
  • Publication number: 20080150091
    Abstract: A method of double patterning a semiconductor structure with a single material which after patterning becomes a permanent part of the semiconductor structure. More specifically, a method to form a patterned semiconductor structure with small features is provided which are difficult to obtain using conventional exposure lithographic processes. The method of the present invention includes the use of patternable low-k dielectric materials which after patterning remain as a low k dielectric material within the semiconductor structure. The method is useful in forming semiconductor interconnect structures in which the patternable low k dielectric materials after patterning and curing become a permanent element, e.g., a patterned interlayer low k dielectric material, of the interconnect structure.
    Type: Application
    Filed: February 12, 2008
    Publication date: June 26, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Qinghuang Lin
  • Patent number: 7391116
    Abstract: A coated electrically conductive substrate has particular utility where there are multiple closely spaced leads and tin whiskers constitute a potential short circuit. This electrically conductive substrate has a plurality of leads separated by a distance capable of bridging by a tin whisker, a silver or silver-base alloy layer coating at least one surface of at least one of the plurality of leads, and a fine grain tin or tin-base alloy layer directly coating said silver layer. An alternative coated electrically conductive substrate has utility where debris from fretting wear may increase electrical resistivity. This electrically conductive substrate has a barrier layer deposited on the substrate that is effective to inhibit diffusion of the substrate into a subsequently deposited layers, which include a sacrificial layer deposited on the barrier layer that is effective to form intermetallic compounds with tin, and a low resistivity oxide metal layer deposited on the sacrificial layer.
    Type: Grant
    Filed: October 12, 2004
    Date of Patent: June 24, 2008
    Assignee: GBC Metals, LLC
    Inventors: Szuchain F. Chen, Nicole A. Lasiuk, John E. Gerfen, Peter W. Robinson, Abid A. Khan
  • Patent number: 7391094
    Abstract: A semiconductor structure includes a substrate having a surface and being made of a material that provides atypical surface properties to the surface, a bonding layer on the surface of the substrate, and a further layer molecularly bonded to the bonding layer. A method for fabricating such a semiconductor structure includes providing a substrate having a surface and being made of a material that provides atypical surface properties to the surface, providing a bonding layer on the surface of the substrate, smoothing the bonding layer to provide a surface that is capable of molecular bonding, and molecularly bonding a further layer to the bonding layer to form the structure. The atypical surface properties preferably include at least one of a roughness of more than 0.5 nm rms, or a roughness of at least 0.4 nm rms that is difficult to polish, or a chemical composition that is incompatible with molecular bonding.
    Type: Grant
    Filed: December 13, 2005
    Date of Patent: June 24, 2008
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventors: Olivier Rayssac, Muriel Martinez, Sephorah Bisson, Lionel Portigliatti
  • Publication number: 20080128764
    Abstract: A semiconductor substrate including a plurality of insulating elements formed of an insulating material in the substrate, a semiconductor device having the same, and methods of manufacturing the substrate and the device are provided. The semiconductor device includes isolation regions formed in a semiconductor substrate, transistors formed on the semiconductor substrate, source/drain regions formed between the transistors and the isolation regions in the semiconductor substrate, and a plurality of the elements formed of insulating material being formed within the semiconductor substrate a predetermined distance beneath a top surface of the substrate.
    Type: Application
    Filed: November 29, 2007
    Publication date: June 5, 2008
    Applicant: Samsung Electronics Co., Ltd.
    Inventor: Won-chang Lee
  • Patent number: 7368804
    Abstract: A method, apparatus and system are provided for relieving stress in the via structures of semiconductor structures whenever a linewidth below a via is larger than a ground-rule, including providing a via at least as large as the groundrule, providing a landing pad above the via, providing a via bar in place of a via, slotting the metal linewidth below the via, or providing an oversize via with a sidewall spacer.
    Type: Grant
    Filed: May 16, 2003
    Date of Patent: May 6, 2008
    Assignee: Infineon Technologies AG
    Inventors: Mark Hoinkis, Matthias Hierlemann, Gerald Friese, Andy Cowley, Dennis J. Warner, Erdem Kaltalioglu
  • Publication number: 20080099829
    Abstract: A nonvolatile read-only memory having a thin nitrided tunnel insulator surface with a charge blocking insulator over the nitrided surface is presented. The tunnel insulator may be formed of a metal oxide, a metal oxycarbide, a semiconductor oxide, or oxycarbide. The dielectric structure may be formed by nitridation of a surface of a tunnel insulator using ammonia and deposition of a blocking insulator having a larger band gap than the tunnel insulator. The dielectric structure may form part of a memory device, as well as other devices and systems.
    Type: Application
    Filed: October 30, 2006
    Publication date: May 1, 2008
    Inventors: Leonard Forbes, Kie Y. Ahn
  • Publication number: 20080093710
    Abstract: An interlayer is disposed on a pattern surface of a substrate. A buried hard mask may be provided on the interlayer. The buried hard mask includes a template opening having a template length along a line axis and a template width perpendicular thereto. The buried hard mask is filled with a fill material. A top mask is provided above the filled buried hard mask. The top mask includes a trim opening crossing the template opening and having a trim width along the line axis that is smaller than the template length. By etching the fill material and the interlayer using the top and buried hard mask a process section of the pattern surface may be exposed such that a target length and width of the process section result from the template and the trim widths. The planar dimensions of the process section may be decoupled from each other.
    Type: Application
    Filed: October 19, 2006
    Publication date: April 24, 2008
    Inventor: Lars Bach
  • Patent number: 7358587
    Abstract: In one aspect, the invention includes a method of forming a material within an opening, comprising: a) forming an etch-stop layer over a substrate, the etch-stop layer having an opening extending therethrough to expose a portion of the underlying substrate and comprising an upper corner at a periphery of the opening, the upper corner having a corner angle with a first degree of sharpness; b) reducing the sharpness of the corner angle to a second degree; c) after reducing the sharpness, forming a layer of material within the opening and over the etch-stop layer; and d) planarizing the material with a method selective for the material relative to the etch-stop layer to remove the material from over the etch-stop layer while leaving the material within the opening.
    Type: Grant
    Filed: April 25, 2005
    Date of Patent: April 15, 2008
    Assignee: Micron Technology, Inc.
    Inventors: John T. Moore, Guy T. Blalock
  • Patent number: 7355268
    Abstract: An optical device having a high reflector tunable stress coating includes a micro-electromechanical system (MEMS) platform, a mirror disposed on the MEMS platform, and a multiple layer coating disposed on the mirror. The multiple layer coating includes a layer of silver (Ag), a layer of silicon dioxide (SiO2) deposited on the layer of Ag, a layer of intrinsic silicon (Si) deposited on the layer of SiO2, and a layer of silicon oxynitride (SiOxNy) deposited on the layer of Si. The concentration of nitrogen is increased and/or decreased to tune the stress (e.g., tensile, none, compressive).
    Type: Grant
    Filed: April 7, 2006
    Date of Patent: April 8, 2008
    Assignee: Intel Corporation
    Inventor: Michael Goldstein
  • Publication number: 20080079122
    Abstract: A semiconductor device 10 is provided. A first layer 12 has a first dopant type; a second layer 14 is provided over the first layer 12; and a third layer 16 is provided over the second layer and has the first dopant type. A plurality of first and second semiconductor regions 22, 24 are within the third layer. The first semiconductor region 22 has the first dopant type, and the second semiconductor region 24 has the second dopant type. The first and second semiconductor regions 22, 24 are disposed laterally to one another in an alternating pattern to form a super junction, and the super junction terminates with a final second semiconductor region 24, 24? of the second dopant type.
    Type: Application
    Filed: September 29, 2006
    Publication date: April 3, 2008
    Inventors: Ronghua Zhu, Amitava Bose, Vishnu K. Khemka, Todd C. Roggenbauer
  • Patent number: 7352053
    Abstract: A method of manufacturing a mechanically robust insulating layer, including forming a low-k dielectric layer having a first dielectric constant on a substrate and forming a carbon nitride cap layer on the low-k dielectric layer, the insulating layer thereby having a second dielectric constant that is less than the first dielectric constant.
    Type: Grant
    Filed: October 29, 2003
    Date of Patent: April 1, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Hui Lin Chang
  • Publication number: 20080061403
    Abstract: A semiconductor structure and methods for forming the same. The structure includes (a) a substrate; (b) a first device and a second device each being on the substrate; (c) a device cap dielectric layer on the first and second devices and the substrate, wherein the device cap dielectric layer comprises a device cap dielectric material; (d) a first dielectric layer on top of the device cap dielectric layer, wherein the first dielectric layer comprises a first dielectric material; (e) a second dielectric layer on top of the first dielectric layer; and (f) a first electrically conductive line and a second electrically conductive line each residing in the first and second dielectric layers. The first dielectric layer physically separates the first and second electrically conductive lines from the device cap dielectric layer. A dielectric constant of the first dielectric material is less than that of the device cap dielectric material.
    Type: Application
    Filed: September 8, 2006
    Publication date: March 13, 2008
    Inventors: Zhong-Xiang He, Ning Lu, Anthony Kendall Stamper
  • Publication number: 20080054413
    Abstract: A method of forming a dual segment liner covering a first and a second set of semiconductor devices is provided. The method includes forming a first liner and a first protective layer on top thereof, the first liner covering the first set of semiconductor devices; forming a second liner, the second liner having a first section covering the first protective layer, a transitional section, and a second section covering the second set of semiconductor devices, the second section being self-aligned to the first liner via the transitional section; forming a second protective layer on top of the second section of the second liner; removing the first section and at least part of the transitional section of the second liner; and obtaining the dual segment liner including the first liner, the transitional section and the second section of the second liner. A semiconductor structure with a self-aligned dual segment liner formed in accordance with one embodiment of the invention is also provided.
    Type: Application
    Filed: August 30, 2006
    Publication date: March 6, 2008
    Applicants: INTERNATIONAL BUSINESS MACHINES CORPORATION, INFINEON TECHNOLOGIES NORTH AMERICA CORP.
    Inventors: Thomas W. Dyer, Sunfei Fang, Jiang Yan
  • Publication number: 20080042210
    Abstract: A method of fabricating a semiconductor device is provided. A substrate is first provided, and than several IO devices and several core devices are formed on the substrate, wherein those IO devises include IO PMOS and IO NMOS, and those core devises include core PMOS and core NMOS. Thereafter, a buffer layer is formed on the substrate, and then the buffer layer except a surface of the IO PMOS is removed in order to reduce the negative bias temperature instability (NBTI) of the IO PMOS. Afterwards, a tensile contact etching stop layer (CESL) is formed on the IO NMOS and the core NMOS, and a compressive CESL is formed the core PMOS.
    Type: Application
    Filed: August 18, 2006
    Publication date: February 21, 2008
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Wen-Han Hung, Cheng-Tung Huang, Li-Shian Jeng, Kun-Hsien Lee, Shyh-Fann Ting, Tzyy-Ming Cheng, Chia-Wen Liang
  • Publication number: 20080045033
    Abstract: A stacked structure for patterning a material layer to form an opening pattern with a predetermined opening width in the layer is provided. The stacked structure includes an underlayer, a silicon rich organic layer, and a photoresist layer. The underlayer is on the material layer. The silicon rich organic layer is between the underlayer and the photoresist layer. The thickness of the photoresist layer is smaller than that of the underlayer and larger than two times of the thickness of the silicon rich organic layer. The thickness of the underlayer is smaller than three times of the predetermined opening width.
    Type: Application
    Filed: August 15, 2006
    Publication date: February 21, 2008
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Meng-Jun Wang, Yi-Hsing Chen, Jiunn-Hsiung Liao, Min-Chieh Yang, Chuan-Kai Wang
  • Patent number: 7332795
    Abstract: A semiconductor device is disclosed that includes a layer of Group III nitride semiconductor material that includes at least one surface, a control contact on the surface for controlling the electrical response of the semiconductor material, a dielectric barrier layer covering at least a portion of the one surface adjacent the control contact, the dielectric barrier layer having a bandgap greater than the bandgap of the Group III nitride and a conduction band offset from the conduction band of the Group III nitride; and a dielectric protective layer covering the remainder of the Group III nitride surface.
    Type: Grant
    Filed: May 22, 2004
    Date of Patent: February 19, 2008
    Assignee: Cree, Inc.
    Inventors: Richard Peter Smith, Scott T. Sheppard, John Williams Palmour
  • Patent number: 7332796
    Abstract: Methods for protecting semiconductor devices from plasma charging damage are disclosed. An example disclosed method includes depositing an etching stop layer on a substrate with at least one predetermined structure; depositing a premetallic dielectric layer and a charge preservation layer on the entire surface of the etching stop layer; depositing an insulating layer on the surface of the resulting structure; and forming an metallic interconnect on the insulating layer.
    Type: Grant
    Filed: June 7, 2007
    Date of Patent: February 19, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jae Hee Kim
  • Publication number: 20080017954
    Abstract: A capacitor insulating film composed of a layered film of first- to third-layer hafnium oxide films is formed on a lower electrode of a capacitor. The first- and third-layer hafnium oxide films have a composition ratio of oxygen to hafnium higher than the second-layer hafnium oxide film. Thus, the capacitor insulating film is composed of the first- and third-layer hafnium oxide films having greater barrier height and the second-layer hafnium oxide film having a higher dielectric constant, thereby attaining a capacitor having less leakage current and large capacity.
    Type: Application
    Filed: July 12, 2007
    Publication date: January 24, 2008
    Inventors: Jun Suzuki, Kenji Yoneda, Seiji Matsuyama
  • Patent number: 7317241
    Abstract: In a semiconductor apparatus of the present invention, a plurality of circuit components are provided. A first bus interconnects the circuit components. A second bus interconnects the circuit components. A switching unit outputs a select signal that causes each circuit component to select one of the first bus and the second bus when transmitting a signal from one of the circuit components to another. The second bus has a size larger than a size of the first bus.
    Type: Grant
    Filed: June 3, 2005
    Date of Patent: January 8, 2008
    Assignee: Fujitsu Limited
    Inventors: Yasurou Matsuzaki, Yasuharu Sato, Tadao Aikawa, Masafumi Yamazaki, Takaaki Suzuki
  • Publication number: 20070259533
    Abstract: A semiconductor device includes: a structure comprising at least two heterogeneous layers having different stress levels; and a stress relief layer disposed between the two heterogeneous layers to relive a difference in the stress levels. The stress relief layer may include: a first layer formed over a first heterogeneous layer; a second layer formed over the first layer; and a third layer formed between the second layer and a second heterogeneous layer.
    Type: Application
    Filed: October 13, 2006
    Publication date: November 8, 2007
    Inventors: Hyun Ahn, Jeong-Hoon Park
  • Patent number: 7287320
    Abstract: A method for programming a routing layout design through one via layer includes forming a plurality of metal traces on a first routing layer and a second routing layer, and positioning a plurality of vias within a via layer disposed between the first and second routing layers for connecting the metal traces on the first and second routing layers according to a first current route defined by a predetermined circuit layout design to connect a first node and a second node so as to establish a second current route equivalent to the first current route.
    Type: Grant
    Filed: March 25, 2004
    Date of Patent: October 30, 2007
    Assignee: Faraday Technology Corp.
    Inventors: Hsin-Shih Wang, Shang-Jyh Shieh, Ming-Hsin Ku
  • Patent number: 7285826
    Abstract: Semiconductor structure formed on a substrate and process of forming the semiconductor. The semiconductor includes a plurality of field effect transistors having a first portion of field effect transistors (FETS) and a second portion of field effect transistors. A first stress layer has a first thickness and is configured to impart a first determined stress to the first portion of the plurality of field effect transistors. A second stress layer has a second thickness and is configured to impart a second determined stress to the second portion of the plurality of field effect transistors.
    Type: Grant
    Filed: October 6, 2005
    Date of Patent: October 23, 2007
    Assignee: International Business Machines Corporation
    Inventors: Bruce B. Doris, Oleg G. Gluschenkov, Huilong Zhu