By Dry-etching (epo) Patents (Class 257/E21.252)
  • Patent number: 11972928
    Abstract: A method and system for plasma arc suppression includes a RF generator supplying power to a plasma chamber coupled to an impedance matching network reacting to impedance changes to match an impedance of the plasma chamber with an impedance of the radio frequency generator. An arc suppression device coupled to the RF generator and the plasma chamber detects plasma arcing causing a sharp impedance change increasing reflection of the power by the plasma chamber and switches a power dissipator reducing the power delivered to the plasma chamber extinguishing or mitigating the plasma arcing. The power dissipator is switched more quickly than the impedance matching network reacts to the sharp impedance change. For example, the impedance matching network may react to the impedance change on an order of hundredths of milliseconds or more, while the arc suppression device switches the power dissipator on an order of microseconds or less.
    Type: Grant
    Filed: January 4, 2023
    Date of Patent: April 30, 2024
    Assignee: COMET TECHNOLOGIES USA, INC.
    Inventor: Anthony Oliveti
  • Patent number: 11961918
    Abstract: A semiconductor device which has favorable electrical characteristics, a method for manufacturing a semiconductor device with high productivity, and a method for manufacturing a semiconductor device with a high yield are provided.
    Type: Grant
    Filed: August 24, 2022
    Date of Patent: April 16, 2024
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Yasutaka Nakazawa, Yukinori Shima, Kenichi Okazaki, Junichi Koezuka, Shunpei Yamazaki
  • Patent number: 11955342
    Abstract: A method of an etching includes preparing a substrate having a first region formed of silicon oxide and a second region formed of silicon nitride; etching the first region by exposing the substrate to plasma of a first processing gas including a fluorocarbon gas, and forming a deposit including fluorocarbon on the first region and the second region; etching the first region and the second region by radicals of the fluorocarbon included in the deposit; and removing the deposit by plasma of a second processing gas which does not include oxygen.
    Type: Grant
    Filed: March 12, 2021
    Date of Patent: April 9, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Masanori Hosoya, Tangkuei Wang
  • Patent number: 11942307
    Abstract: A method for plasma processing includes: sustaining a plasma in a plasma processing chamber, the plasma processing chamber including a first radio frequency (RF) electrode and a second RF electrode, where sustaining the plasma includes: coupling an RF source signal to the first RF electrode; and coupling a bias signal between the first RF electrode and the second RF electrode, the bias signal having a bipolar DC (B-DC) waveform including a plurality of B-DC pulses, each of the B-DC pulses including: a negative bias duration during which the pulse has negative polarity relative to a reference potential, a positive bias duration during which the pulse has positive polarity relative to the reference potential, and a neutral bias duration during which the pulse has neutral polarity relative to the reference potential.
    Type: Grant
    Filed: October 15, 2021
    Date of Patent: March 26, 2024
    Assignee: Tokyo Electron Limited
    Inventors: Zhiying Chen, Barton Lane, Yun Han, Peter Lowell George Ventzek, Alok Ranjan
  • Patent number: 11935831
    Abstract: The present application discloses a method for fabricating a semiconductor device. The method includes providing a first semiconductor structure; and forming a first connecting structure comprising a first connecting insulating layer on the first semiconductor structure, a plurality of first connecting contacts in the first connecting insulating layer, and a plurality of first supporting contacts in the first connecting insulating layer.
    Type: Grant
    Filed: October 26, 2021
    Date of Patent: March 19, 2024
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventor: Shing-Yih Shih
  • Patent number: 11926891
    Abstract: A cleaning method for removing a silicon-containing film deposited in a temperature-adjustable process container by a heater and a cooler includes: stabilizing a temperature in the process container to a cleaning temperature; and removing the silicon-containing film by supplying a cleaning gas into the process container stabilized at the cleaning temperature; wherein in the removing the silicon-containing film, a heating capability of the heater and a cooling capability of the cooler are controlled based on the temperature in the process container.
    Type: Grant
    Filed: December 17, 2021
    Date of Patent: March 12, 2024
    Assignee: Tokyo Electron Limited
    Inventor: Masami Oikawa
  • Patent number: 11923173
    Abstract: There is provided a technique capable of improving a uniformity of a substrate processing on a substrate surface. According to one aspect thereof, there is provided a substrate processing apparatus including: a substrate processing room; a plasma generation room; a gas supplier supplying a gas into the plasma generation room; a first coil surrounding the plasma generation room and to which an electric power is supplied; and a second coil surrounding the plasma generation room and to which an electric power is supplied. An axial direction of the second coil is equal to that of the first coil, a winding diameter of the second coil is different from that of the first coil, and a peak of a voltage distribution generated by supplying the electric power to the second coil does not overlap with a peak of a voltage distribution generated by the first coil.
    Type: Grant
    Filed: November 28, 2022
    Date of Patent: March 5, 2024
    Assignee: Kokusai Electric Corporation
    Inventors: Teruo Yoshino, Naofumi Ohashi, Tadashi Takasaki
  • Patent number: 11915933
    Abstract: A manufacturing method of a semiconductor structure is disclosed, which includes: an initial structure is provided; a filling layer covering a spacer is formed on the initial structure; a filling layer with a first preset thickness is removed at a high first etching rate through a first etching process, then a filling layer with a second preset thickness is removed at a low second etching rate through a second etching process, and the partial spacer is exposed; and the filling layer and the spacer are patterned.
    Type: Grant
    Filed: August 17, 2021
    Date of Patent: February 27, 2024
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventors: Qiang Wan, Tao Liu, Sen Li
  • Patent number: 11915940
    Abstract: A method of cyclic etching, comprising: (A) depositing, prior to cyclically etching a substrate through a mask opening, a pre-etch protection layer conformally over the mask, sidewalls of the mask defining the mask opening; and an exposed portion of the substrate exposed through the mask opening, the pre-etch protection layer deposited to a first thickness; and (B) cyclically etching the substrate by: (i) depositing a protection layer in the opening of the mask, the protection layer deposited to a second thickness that is less than half of the first thickness; (ii) etching through a portion of the protection layer disposed on the substrate and etching the substrate; and (iii) repeating (i) and (ii) until an end point is reached.
    Type: Grant
    Filed: July 2, 2021
    Date of Patent: February 27, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Zhi Gang Wang, Jiao Yang, Alfredo Granados, Jon C. Farr, Heng Wang, Rui Zhe Ren
  • Patent number: 11916115
    Abstract: Various embodiments of the present disclosure are directed towards an integrated chip including a field plate. A gate structure overlies a substrate between a source region and a drain region. A drift region is disposed laterally between the gate structure and the drain region. A first dielectric layer overlies the substrate. A field plate is disposed within the first dielectric layer between the gate structure and the drain region. A conductive wire overlies the first dielectric layer and contacts the field plate. At least a portion of the conductive wire directly overlies a first sidewall of the drift region.
    Type: Grant
    Filed: August 19, 2021
    Date of Patent: February 27, 2024
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Cheng Ho, Ming-Ta Lei, Yu-Chang Jong
  • Patent number: 11901176
    Abstract: A method for fabricating a semiconductor arrangement is provided. The method includes forming a first dielectric layer and forming a first semiconductive layer over the first dielectric layer. The first semiconductive layer is patterned to form a patterned first semiconductive layer. The first dielectric layer is patterned using the patterned first semiconductive layer to form a patterned first dielectric layer. A second semiconductive layer is formed over the patterned first dielectric layer and the patterned first semiconductive layer.
    Type: Grant
    Filed: February 20, 2023
    Date of Patent: February 13, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LIMITED
    Inventors: Yi-Shan Chen, Hao-Heng Liu
  • Patent number: 11898265
    Abstract: Disclosed is a method for preparing a carbon material, comprising applying a voltage to an electrically conductive medium to form an electrically conductive path in an oxygen-free environment containing a carbon source and a catalyst to obtain the carbon material, wherein the electrically conductive medium includes a solid substrate or a liquid-phase electrically conductive system; under the condition that the electrically conductive medium is the liquid-phase electrically conductive system, the carbon material is obtained in the liquid-phase electrically conductive system; and under the condition that the electrically conductive medium is the solid substrate, the carbon material is obtained on a surface of the solid substrate.
    Type: Grant
    Filed: March 15, 2022
    Date of Patent: February 13, 2024
    Assignee: Qingdao University of Science & Technology
    Inventors: Yan He, Dianming Chu, Wenjuan Bai, Qianpeng Dong
  • Patent number: 11887825
    Abstract: A method of controlling a scanning-type plasma processing apparatus using a phased array antenna, includes observing light emission of plasma generated inside a processing container through observation windows provided at multiple positions in the processing container, calculating an in-plane distribution of values representing characteristics of the plasma on a substrate, based on data on the observed light emission of the plasma, and correcting a scanning pattern and/or a plasma density distribution of the plasma based on the calculated in-plane distribution of the values representing the characteristics of the plasma on the substrate.
    Type: Grant
    Filed: October 21, 2020
    Date of Patent: January 30, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Mikio Sato, Eiki Kamata, Taro Ikeda
  • Patent number: 11877451
    Abstract: A vertical memory device includes a gate electrode structure, a channel, an insulation pattern structure, an etch stop structure, and a through via. The gate electrode structure includes gate electrodes spaced apart from each other on a substrate in a first direction perpendicular to an upper surface of the substrate, and each of the gate electrodes extends in a second direction parallel to the upper surface of the substrate. The channel extends in the first direction through the gate electrode structure. The insulation pattern structure extends through the gate electrode structure. The etch stop structure extends through the gate electrode structure and surround at least a portion of a sidewall of the insulation pattern structure, and the etch stop structure includes a filling pattern and an etch stop pattern on a sidewall of the filling pattern. The through via extends in the first direction through the insulation pattern structure.
    Type: Grant
    Filed: January 29, 2021
    Date of Patent: January 16, 2024
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jaehoon Shin, Kangmin Kim, Kyeongjin Park, Seungmin Song, Joongshik Shin, Geunwon Lim
  • Patent number: 11869805
    Abstract: A method for preparing method semiconductor device includes: providing a wafer on which a semiconductor structure is formed; forming a stacked film layer structure on a side of the semiconductor structure away from the wafer, a film layer in the stacked film layer structure farthest from the semiconductor structure being a first film layer; reducing a thickness of the first film layer so that the thickness of the first film layer at where orthographic projection of the first film layer on the wafer locates at an edge of the wafer is less than the thickness of the first film layer at where orthographic projection of the first film layer on the wafer locates in middle of the wafer; and patterning the stacked film layer structure to form through holes which communicate to the semiconductor structure.
    Type: Grant
    Filed: August 24, 2021
    Date of Patent: January 9, 2024
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventors: Xifei Bao, Fang Rong
  • Patent number: 11862458
    Abstract: Exemplary processing methods may include forming a plasma of a silicon-containing precursor. The methods may include depositing a flowable film on a semiconductor substrate with plasma effluents of the silicon-containing precursor. The processing region may be at least partially defined between a faceplate and a substrate support on which the semiconductor substrate is seated. A bias power may be applied to the substrate support from a bias power source. The methods may include forming a plasma of a hydrogen-containing precursor within the processing region of the semiconductor processing chamber. The methods may include etching the flowable film from a sidewall of the feature within the semiconductor substrate with plasma effluents of the hydrogen-containing precursor. The methods may include densifying remaining flowable film within the feature defined within the semiconductor substrate with plasma effluents of the hydrogen-containing precursor.
    Type: Grant
    Filed: September 8, 2021
    Date of Patent: January 2, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Bhargav S. Citla, Soham Asrani, Joshua Rubnitz, Srinivas D. Nemani, Ellie Y. Yieh
  • Patent number: 11854869
    Abstract: Methods of forming high aspect ratio openings. The method comprises removing a portion of a dielectric material at a temperature less than about 0° C. to form at least one opening in the dielectric material. The at least one opening comprises an aspect ratio of greater than about 30:1. A protective material is formed in the at least one opening and on sidewalls of the dielectric material at a temperature less than about 0° C. Methods of forming high aspect ratio features are also disclosed, as are semiconductor devices.
    Type: Grant
    Filed: July 7, 2022
    Date of Patent: December 26, 2023
    Inventors: Ken Tokashiki, John A. Smythe, Gurtej S. Sandhu
  • Patent number: 11854766
    Abstract: Embodiments described herein relate to plasma processes. A plasma process includes generating a plasma containing negatively charged oxygen ions. A substrate is exposed to the plasma. The substrate is disposed on a pedestal while being exposed to the plasma. While exposing the substrate to the plasma, a negative direct current (DC) bias voltage is applied to the pedestal to repel the negatively charged oxygen ions from the substrate.
    Type: Grant
    Filed: July 20, 2022
    Date of Patent: December 26, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Sheng-Liang Pan, Bing-Hung Chen, Chia-Yang Hung, Jyu-Horng Shieh, Shu-Huei Suen, Syun-Ming Jang, Jack Kuo-Ping Kuo
  • Patent number: 11848343
    Abstract: Provided are a spectral filter, a method of manufacturing the same, and an image sensor and an electronic device each including the spectral filter. The spectral filter includes a plurality of first reflective layers provided spaced apart from each other, and a plurality of cavities provided between the plurality of first reflective layers. The cavities have different thicknesses according to a center wavelength. Each of the cavities includes a plurality of etch stop layers having a constant total thickness according to the center wavelength, and at least one dielectric layer having a total thickness which changes according to the center wavelength, wherein the etch stop layers include materials having etch selectivities different than that of the dielectric layer.
    Type: Grant
    Filed: July 6, 2021
    Date of Patent: December 19, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Hyochul Kim, Younggeun Roh
  • Patent number: 11848239
    Abstract: A method includes depositing a first work function layer over a gate dielectric layer, forming a first hard mask layer over the first work function layer, forming a photoresist mask over the first hard mask layer, where forming the photoresist mask includes depositing a bottom anti-reflective coating (BARC) layer over the first hard mask layer, etching a portion of the BARC layer, etching a portion of the first hard mask layer using the BARC layer as a mask, etching a portion of the first work function layer to expose a portion of the gate dielectric layer through the first hard mask layer and the first work function layer, removing the first hard mask layer, and depositing a second work function layer over the first work function layer and over the portion of the gate dielectric layer.
    Type: Grant
    Filed: July 10, 2020
    Date of Patent: December 19, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chieh-Wei Chen, Jian-Jou Lian, Tzu-Ang Chiang, Chun-Neng Lin, Ming-Hsi Yeh
  • Patent number: 11849606
    Abstract: A display device includes a substrate including pixels; a buffer layer disposed on the substrate; an etch stopper layer disposed between the substrate and the buffer layer; and at least one penetrating-hole penetrating the substrate, the buffer layer, and the etch stopper layer, wherein the etch stopper layer includes amorphous carbon.
    Type: Grant
    Filed: June 16, 2021
    Date of Patent: December 19, 2023
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Jung Yun Jo, Ji Hye Han
  • Patent number: 11825661
    Abstract: A planar insulating spacer layer can be formed over a substrate, and a combination of a semiconducting material layer, a thin film transistor (TFT) gate dielectric layer, and a gate electrode can be formed over the planar insulating spacer layer. A dielectric matrix layer is formed thereabove. A source-side via cavity and a drain-side via cavity can be formed through the dielectric matrix layer over end portions of the semiconducting material layer. Mechanical stress can be generated between the end portions of the semiconducting material layer by changing a lattice constant of end portions of the semiconducting material layer. The mechanical stress can enhance the mobility of charge carriers in a channel portion of the semiconducting material layer.
    Type: Grant
    Filed: July 7, 2021
    Date of Patent: November 21, 2023
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Hui-Hsien Wei, Yen-Chung Ho, Chia-Jung Yu, Yong-Jie Wu, Pin-Cheng Hsu
  • Patent number: 11814561
    Abstract: Provided is a novel etching gas composition that comprises a sulfur-containing unsaturated compound and that is useful for etching a stacked structure of silicon-based films. A dry etching gas composition comprises a sulfur-containing fluorocarbon compound that has an unsaturated bond and that is represented by general formula (1) of CxFySz where x, y, and z are 2?x?5, y?2x, and 1?z?2.
    Type: Grant
    Filed: October 25, 2019
    Date of Patent: November 14, 2023
    Assignee: KANTO DENKA KOGYO CO., LTD.
    Inventors: Hisashi Shimizu, Korehito Kato
  • Patent number: 11804380
    Abstract: A method of high-throughput dry etching of a film by proton-mediated catalyst formation. The method includes providing a substrate having a film thereon containing silicon-oxygen components, silicon-nitrogen components, or both, introducing an etching gas in the process chamber, plasma-exciting the etching gas, and exposing the film to the plasma-excited etching gas to etch the film. In one example, the etching gas contains at least three different gases that include a fluorine-containing gas, a hydrogen-containing gas, and a nitrogen-containing gas, plasma-exciting the etching gas. In another example, the etching gas contains at least four different gases that include a fluorine-containing gas, a hydrogen-containing gas, an oxygen-containing gas, and a silicon-containing gas.
    Type: Grant
    Filed: October 29, 2021
    Date of Patent: October 31, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Du Zhang, Yu-Hao Tsai, Mingmei Wang
  • Patent number: 11798841
    Abstract: A planarization method including the following steps is provided. A substrate is provided. The substrate includes a first region and a second region. A material layer is formed on the substrate. The top surface of the material layer in the first region is lower than the top surface of the material layer in the second region. A patterned photoresist layer is formed on the material layer in the first region. A first etching process is performed on the patterned photoresist layer, so that the top surface of the patterned photoresist layer and the top surface of the material layer in the second region have substantially the same height. A second etching process is performed on the patterned photoresist layer and the material layer. In the second etching process, the etching rate of the patterned photoresist layer is substantially the same as the etching rate of the material layer.
    Type: Grant
    Filed: January 28, 2021
    Date of Patent: October 24, 2023
    Assignee: Powerchip Semiconductor Manufacturing Corporation
    Inventor: Yen-Jhih Huang
  • Patent number: 11791175
    Abstract: An etching method for selectively etching a material containing Si and O is provided. The etching method includes providing a substrate containing the material containing Si and O in a chamber, repeating a first period for supplying a basic gas, which is started first, and a second period for supplying a fluorine-containing gas, which is started next, with at least a part of the second period not overlapping with the first period, and heating and removing a reaction product generated by the supply of the basic gas and the supply of the fluorine-containing gas.
    Type: Grant
    Filed: May 13, 2021
    Date of Patent: October 17, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Yoshiki Igarashi, Satoru Kikushima, Takayuki Suga, Jun Lin, Chengya Chu
  • Patent number: 11776818
    Abstract: An apparatus, semiconductor device and method of manufacture are presented, wherein a hard mask layer and one or more etch stop layers are etched in an etching chamber. In an embodiment the semiconductor device is placed on a mounting platform at a first height and an etch process is performed, then the semiconductor device is moved to a second height within the chamber and a second etch process is performed, with the rotational speed of the semiconductor device reduced during movements in order to reduce the chance of cross contamination.
    Type: Grant
    Filed: April 19, 2021
    Date of Patent: October 3, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Wan Hsuan Hsu, Jao Sheng Huang, Yen-Chiu Kuo, Yu-Li Cheng, Ya Tzu Chen, Neng-Jye Yang, Chun-Li Chou
  • Patent number: 11776811
    Abstract: A method for etching a hardmask layer includes forming a photoresist layer comprising an organometallic material on a hardmask layer comprising a metal-containing material, exposing the photoresist layer to ultraviolet radiation through a mask having a selected pattern, removing un-irradiated areas of the photoresist layer to pattern the photoresist layer, forming a passivation layer comprising a carbon-containing material selectively on a top surface of the patterned photoresist layer, and etching the hardmask layer exposed by the patterned photoresist layer having the passivation layer formed thereon.
    Type: Grant
    Filed: March 15, 2021
    Date of Patent: October 3, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Larry Gao, Nancy Fung
  • Patent number: 11751428
    Abstract: An OLED panel and a method of manufacturing the same are disclosed. The OLED panel is provided to be disposed above a camera, and the OLED panel comprises sequentially from top to bottom: a substrate; a light-emitting layer disposed on the substrate; a cathode disposed on the light-emitting layer; a high n value inorganic salt layer disposed on surfaces of the cathode and the light-emitting layer; and a CPL layer disposed on the high n value inorganic salt layer. An entire thickness of the high n value inorganic salt layer and a part of a thickness of the cathode of the OLED panel corresponding to a position above the camera are removed to form a hollow portion, so that the thickness of the cathode above the camera is reduced, so as to increase the light transmittance, thereby improving the quality of photos.
    Type: Grant
    Filed: June 22, 2020
    Date of Patent: September 5, 2023
    Assignee: Wuhan China Star Optoelectronics Semiconductor Display Technology Co., Ltd.
    Inventor: Zhenmin Wang
  • Patent number: 11742210
    Abstract: The present disclosure provides a method to enlarge the process window for forming a source/drain contact. The method may include receiving a workpiece that includes a source/drain feature exposed in a source/drain opening defined between two gate structures, conformally depositing a dielectric layer over sidewalls of the source/drain opening and a top surface of the source/drain feature, anisotropically etching the dielectric layer to expose the source/drain feature, performing an implantation process to the dielectric layer, and after the performing of the implantation process, performing a pre-clean process to the workpiece. The implantation process includes a non-zero tilt angle.
    Type: Grant
    Filed: April 15, 2021
    Date of Patent: August 29, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Meng-Han Chou, Kuan-Yu Yeh, Wei-Yip Loh, Hung-Hsu Chen, Su-Hao Liu, Liang-Yin Chen, Huicheng Chang, Yee-Chia Yeo
  • Patent number: 11737256
    Abstract: A semiconductor device and a method of manufacturing a semiconductor device, the device including a substrate; a lower structure including pad patterns on the substrate, upper surfaces of the pad patterns being at an outer side of the lower structure; a plurality of lower electrodes contacting the upper surfaces of the pad patterns; a dielectric layer and an upper electrode sequentially stacked on a surface of each of the lower electrodes; and a hydrogen supply layer including hydrogen, the hydrogen supply layer being between the lower electrodes and closer to the substrate than the dielectric layer is to the substrate.
    Type: Grant
    Filed: September 16, 2021
    Date of Patent: August 22, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jun-Won Lee, Hyuk-Woo Kwon, Ik-Soo Kim, Byoung-Deog Choi
  • Patent number: 11735671
    Abstract: A method of fabricating a vertical fin-based field effect transistor (FET) includes providing a semiconductor substrate having a first surface and a second surface, the semiconductor substrate having a first conductivity type, epitaxially growing a first semiconductor layer on the first surface of the semiconductor substrate, the first semiconductor layer having the first conductivity type and including a drift layer and a graded doping layer on the drift layer, and epitaxially growing a second semiconductor layer having the first conductivity type on the graded doping layer. The method also includes forming a metal compound layer on the second semiconductor layer, forming a patterned hard mask layer on the metal compound layer, and etching the metal compound layer and the second semiconductor layer using the patterned hard mask layer as a mask exposing a surface of the graded doping layer to form a plurality of fins surrounded by a trench.
    Type: Grant
    Filed: April 12, 2022
    Date of Patent: August 22, 2023
    Assignee: Nexgen Power Systems, Inc.
    Inventors: Clifford Drowley, Ray Milano, Subhash Srinivas Pidaparthi, Andrew P. Edwards, Hao Cui, Shahin Sharifzadeh
  • Patent number: 11728124
    Abstract: Systems and methods for creating arbitrarily-shaped ion energy distribution functions using shaped-pulse-bias. In an embodiment, a method includes applying a positive jump voltage to an electrode of a process chamber to neutralize a wafer surface, applying a negative jump voltage to the electrode to set a wafer voltage, and modulating the amplitude of the wafer voltage to produce a predetermined number of pulses to determine an ion energy distribution function. In another embodiment a method includes applying a positive jump voltage to an electrode of a process chamber to neutralize a wafer surface, applying a negative jump voltage to the electrode to set a wafer voltage, and applying a ramp voltage to the electrode that overcompensates for ion current on the wafer or applying a ramp voltage to the electrode that undercompensates for ion current on the wafer.
    Type: Grant
    Filed: July 16, 2021
    Date of Patent: August 15, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Leonid Dorf, Travis Koh, Olivier Luere, Olivier Joubert, Philip A. Kraus, Rajinder Dhindsa, James Rogers
  • Patent number: 11721763
    Abstract: A method comprises forming a source/drain region on a substrate; forming a dielectric layer over the source/drain region; forming a contact hole in the dielectric layer; forming a contact hole liner in the contact hole; removing a first portion of the contact hole liner to expose a sidewall of the contact hole; etching the exposed sidewall of the contact hole to laterally expand the contact hole; and forming a contact plug in the laterally expanded contact hole.
    Type: Grant
    Filed: December 17, 2020
    Date of Patent: August 8, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Wen-Che Tsai, Min-Yann Hsieh, Hua-Feng Chen, Kuo-Hua Pan
  • Patent number: 11710622
    Abstract: In some embodiments, a method for cleaning a processing chamber is provided. The method may be performed by introducing a processing gas into a processing chamber that has a by-product disposed along sidewalls of the processing chamber. A plasma is generated from the processing gas using a radio frequency signal. A lower electrode is connected to a first electric potential. Concurrently, a bias voltage having a second electric potential is applied to a sidewall electrode to induce ion bombardment of the by-product, in which the second electric potential has a larger magnitude than the first electric potential. The processing gas is evacuated from the processing chamber.
    Type: Grant
    Filed: September 3, 2020
    Date of Patent: July 25, 2023
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jing-Cheng Liao, Chang-Ming Wu, Lee-Chuan Tseng
  • Patent number: 11706993
    Abstract: A method for fabricating semiconductor device includes the steps of: forming a first magnetic tunneling junction (MTJ) on a substrate; forming a first ultra low-k (ULK) dielectric layer on the first MTJ; performing a first etching process to remove part of the first ULK dielectric layer and forming a damaged layer on the first ULK dielectric layer; and forming a second ULK dielectric layer on the damaged layer.
    Type: Grant
    Filed: December 27, 2020
    Date of Patent: July 18, 2023
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Hui-Lin Wang, Tai-Cheng Hou, Wei-Xin Gao, Fu-Yu Tsai, Chin-Yang Hsieh, Chen-Yi Weng, Jing-Yin Jhang, Bin-Siang Tsai, Kun-Ju Li, Chih-Yueh Li, Chia-Lin Lu, Chun-Lung Chen, Kun-Yuan Liao, Yu-Tsung Lai, Wei-Hao Huang
  • Patent number: 11705374
    Abstract: A substrate processing method includes performing a post-processing on a substrate subjected to a pre-processing, in the multiple chambers, acquiring a characteristic value of the substrate after the post-processing for respective chambers, calculating an actual value being an estimated value of the characteristic value when a processing condition of the post-processing is adjusted such that a difference between the characteristic value and a target value becomes small, acquiring a correction residual amount being a difference between the actual value and the target value for each chamber, calculating an average value of correction residual amounts of all of the chambers, correcting the pre-processing condition based on the average of the correction residual amounts, correcting the post-processing condition for each chamber based on the average of the correction residual amounts and the correction residual amount for each chamber; and performing the pre-processing and the post-processing based on the correcte
    Type: Grant
    Filed: April 1, 2021
    Date of Patent: July 18, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Joji Takayoshi, Hidehiko Sato, Tomoyuki Kudoh, Hiroaki Mochizuki
  • Patent number: 11686037
    Abstract: A method and apparatus for drying a wet textile article with a radio frequency (RF) applicator and a controller, the method includes supplying a power level to the RF applicator to energize the RF applicator to generate a field of electromagnetic radiation (e-field), determining a dynamic drying cycle of operation in the controller, and controlling the power level of the RF applicator according to the determination of the dynamic drying cycle of operation, wherein the wet article is dried.
    Type: Grant
    Filed: May 10, 2021
    Date of Patent: June 27, 2023
    Assignee: Whirlpool Corporation
    Inventors: Mark L. Herman, Daniel M. Putnam
  • Patent number: 11670629
    Abstract: A semiconductor package is provided. The semiconductor package comprising a first redistribution structure comprising a first redistribution pattern; a first semiconductor chip on the first redistribution structure, the first semiconductor chip comprising a semiconductor substrate comprising a first surface and a second surface, a first back end of line (BEOL) structure on the first surface of the semiconductor substrate and comprising a first interconnect pattern, and a second BEOL structure on the second surface of the semiconductor substrate and comprising a second interconnect pattern; a molding layer covering a sidewall of the first semiconductor chip; a second redistribution structure on the first semiconductor chip and the molding layer and comprising a second redistribution pattern electrically connected to the second interconnect pattern.
    Type: Grant
    Filed: March 3, 2021
    Date of Patent: June 6, 2023
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seho You, Kyounglim Suk
  • Patent number: 11670543
    Abstract: Embodiments of methods for forming a hybrid-bonded semiconductor structure are disclosed. The method include disposing first second, third, and fourth dielectric layers, forming first and second openings by etching the fourth dielectric layer using a first etching selectivity, etching the third and fourth dielectric layers in the first and second openings respectively using a second etching selectivity, etching the second and third dielectric layers in the first and second openings using the first etching selectivity, etching the first dielectric layer in the first opening and the second dielectric layer in the second opening using the second etching selectivity, etching the first dielectric layer in the first and second openings using the first etching selectivity, and forming conductive material in the first and second openings.
    Type: Grant
    Filed: March 24, 2022
    Date of Patent: June 6, 2023
    Assignee: YANGTZE MEMORY TECHNOLOGIES CO., LTD.
    Inventors: Meng Yan, Jifeng Zhu, Si Ping Hu
  • Patent number: 11664200
    Abstract: A placing table includes an edge ring disposed to surround a substrate, the edge ring having a first recess portion at a lower portion thereof; an electrostatic chuck having a first placing surface on which the substrate is placed, a second placing surface on which the edge ring is placed, and an electrode embedded therein to face the second placing surface; an annular member disposed to surround the electrostatic chuck, the annular member having a second recess portion; and an elastic member disposed in a space surrounded by the first recess portion, the electrostatic chuck and the second recess portion.
    Type: Grant
    Filed: November 1, 2019
    Date of Patent: May 30, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yasuharu Sasaki, Toshiya Tsukahara, Mitsuaki Sato
  • Patent number: 11655534
    Abstract: Apparatus that forms low resistivity tungsten film on substrates. In some embodiments, the apparatus may provide reduced resistivity of tungsten by being configured to generate a plasma in a processing volume of a physical vapor deposition (PVD) chamber with a process gas of krypton and using an RF power with a frequency of approximately 60 MHz, apply bias power at frequency of approximately 13.56 MHz to a substrate, and sputter a tungsten target to deposit a tungsten thin film on the substrate. At least approximately 90% of the deposited tungsten thin film has a <110> crystalline orientation plane approximately parallel to a top surface of the substrate.
    Type: Grant
    Filed: July 5, 2022
    Date of Patent: May 23, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Wenting Hou, Jianxin Lei, Jothilingam Ramalingam, Prashanth Kothnur, William R. Johanson
  • Patent number: 11646225
    Abstract: According to some embodiments, a semiconductor device may include gate structures on a substrate; first and second impurity regions formed in the substrate and at both sides of each of the gate structures; conductive line structures provided to cross the gate structures and connected to the first impurity regions; and contact plugs connected to the second impurity regions, respectively. For each of the conductive line structures, the semiconductor device may include a first air spacer provided on a sidewall of the conductive line structure; a first material spacer provided between the conductive line structure and the first air spacer; and an insulating pattern provided on the air spacer. The insulating pattern may include a first portion and a second portion, and the second portion may have a depth greater than that of the first portion and defines a top surface of the air spacer.
    Type: Grant
    Filed: September 30, 2020
    Date of Patent: May 9, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Myeong-Dong Lee, Keunnam Kim, Dongryul Lee, Minseong Choi, Jimin Choi, Yong Kwan Kim, Changhyun Cho, Yoosang Hwang
  • Patent number: 11631591
    Abstract: Methods for depositing a dielectric material using RF bias pulses along with remote plasma source deposition for manufacturing semiconductor devices, particularly for filling openings with high aspect ratios in semiconductor applications are provided. For example, a method of depositing a dielectric material includes providing a gas mixture into a processing chamber having a substrate disposed therein, forming a remote plasma in a remote plasma source and delivering the remote plasma to an interior processing region defined in the processing chamber, applying a RF bias power to the processing chamber in pulsed mode, and forming a dielectric material in an opening defined in a material layer disposed on the substrate in the presence of the gas mixture and the remote plasma.
    Type: Grant
    Filed: August 23, 2021
    Date of Patent: April 18, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Bhargav S. Citla, Jethro Tannos, Jingyi Li, Douglas A. Buchberger, Jr., Zhong Qiang Hua, Srinivas D. Nemani, Ellie Y. Yieh
  • Patent number: 11631593
    Abstract: A method for locally annealing and crystallizing a thin film by directing ultrashort optical pulses from an ultrafast laser into the film. The ultrashort pulses can selectively produce an annealed pattern and/or activate dopants on the surface or within the film.
    Type: Grant
    Filed: March 29, 2022
    Date of Patent: April 18, 2023
    Assignee: The Government of the United States of America, as represented by the Secretary of the Navy
    Inventors: Marc Currie, Virginia D. Wheeler
  • Patent number: 11604376
    Abstract: The present disclosure discloses a display apparatus and a manufacturing method of an array substrate comprised therein. The display apparatus includes: a backlight module, a display module located on a light-emitting side of the backlight module, and a shell accommodating the backlight module and the display module. The display module includes: an array substrate and a color film substrate which are opposite to each other, and a first polarizer located on one side, facing away from the color film substrate, of the array substrate. The shell includes: a back part arranged on one side, facing away from the color film substrate, of the backlight module; and a plurality of side parts which are in touch with the back part and perpendicular to the back part.
    Type: Grant
    Filed: August 25, 2021
    Date of Patent: March 14, 2023
    Assignees: Hefei BOE Optoelectronics Technology Co., Ltd., BOE Technology Group Co., Ltd.
    Inventors: Yan Wang, Jie Yang, Feng Qu
  • Patent number: 11600501
    Abstract: An etching method enables plasma etching of a silicon-containing film with reduced lateral etching. The etching method includes providing a substrate in a chamber included in a plasma processing apparatus. The substrate includes a silicon-containing film. The etching method further includes setting a flow rate proportion of a phosphorus-containing gas with respect to a total flow rate of the process gas so as to establish a predetermined ratio of an etching rate of an alternate stack of a silicon oxide film and a silicon nitride film to an etching rate of the silicon oxide film.
    Type: Grant
    Filed: November 6, 2020
    Date of Patent: March 7, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Takahiro Yokoyama, Maju Tomura, Yoshihide Kihara, Ryutaro Suda, Takatoshi Orui
  • Patent number: 11594420
    Abstract: A manufacturing method of a semiconductor structure includes at least the following steps. A patterned mask layer with a first opening is formed on a dielectric layer overlying a semiconductor substrate. A portion of the dielectric layer accessibly exposed by the first opening of the patterned mask layer is removed to form a second opening. A first protective film is formed on inner sidewalls of the dielectric layer and the patterned mask layer, where the second opening and the first protective film are formed at the same step. A second protective film is formed on the first protective film to form a protective structure covering the inner sidewalls. A portion of the semiconductor substrate accessibly exposed by the second opening is removed to form a via hole including an undercut underlying the protective structure. The via hole is trimmed and a through substrate via is formed in the via hole.
    Type: Grant
    Filed: August 30, 2021
    Date of Patent: February 28, 2023
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hung-Pin Chang, Tsang-Jiuh Wu, Wen-Chih Chiou
  • Patent number: 11594422
    Abstract: An etching method includes a step of selectively forming deposit on a top surface of a mask disposed on a film of a substrate, a step of etching the film after the step of forming the deposit, a step of forming a layer of chemical species included in plasma of a processing gas, on the substrate, and a step of supplying ions from plasma of an inert gas to the substrate so that the chemical species react with the film.
    Type: Grant
    Filed: August 23, 2021
    Date of Patent: February 28, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Takayuki Hoshi, Masanobu Honda, Masahiro Tabata, Toru Hisamatsu
  • Patent number: 11574799
    Abstract: The present disclosure relates to plasma generation systems particularly applicable to systems which utilize plasma for semiconductor processing. A plasma generation system consistent with the present disclosure includes an arc suppression device coupled to the RF generator. The arc device includes switches that engage upon a triggering signal. In addition, the arc device includes a power dissipater to be engaged by the set of switches to dissipate both stored and delivered energy when the set of switches engage. The arc suppression device also includes an impedance transformer coupled to the power dissipater to perform an impedance transformation that, when the switches are engaged in conjunction with the power dissipater, reduces the reflection coefficient at the input of the device. The plasma generation system further includes a matching network coupled to the radio frequency generator and a plasma chamber coupled to the matching network.
    Type: Grant
    Filed: August 27, 2021
    Date of Patent: February 7, 2023
    Assignee: COMET TECHNOLOGIES USA, INC.
    Inventor: Anthony Oliveti