By Dry-etching (epo) Patents (Class 257/E21.252)
  • Patent number: 9343399
    Abstract: An integrated circuit device includes a substrate, and a first interlayer dielectric layer on the substrate that includes a first conductive layer and a second conductive layer. The integrated circuit device also includes a first conductive stack including a third conductive layer coupled to a portion of the second conductive layer with a first via. The integrated circuit device further includes a second conductive stack comprising a fourth conductive layer directly on a portion of the third conductive layer that is isolated from the substrate. The integrated circuit device also includes a second interlayer dielectric layer surrounding the third conductive layer and the fourth conductive layer.
    Type: Grant
    Filed: January 7, 2014
    Date of Patent: May 17, 2016
    Assignee: QUALCOMM INCORPORATED
    Inventors: Je-Hsiung Lan, Chengjie Zuo, Changhan Hobie Yun, Jonghae Kim, Daeik Daniel Kim, Mario Francisco Velez, Robert Paul Mikulka, Niranjan Sunil Mudakatte
  • Patent number: 9312224
    Abstract: A porous low k dielectric material containing atoms of at least Si, C, N and H (C and/or O may also be present) is used to provide an interconnect structure having reduced BEOL capacitance and resistance. The porous low k dielectric material is used as an interconnect dielectric material in which at least one interconnect metal-containing structure is embedded therein. The porous low k dielectric material has metal diffusion barrier properties due to the presence of nitrogen as an elemental constituent of the porous low k dielectric material. As such, the porous low k dielectric material can eliminate the need of a diffusion barrier liner, or reduce the thickness of the diffusion barrier liner that is typically formed between an interconnect dielectric material and the embedded interconnect metal structure.
    Type: Grant
    Filed: December 11, 2014
    Date of Patent: April 12, 2016
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Donald F. Canaperi, Alfred Grill, Thomas J. Haigh, Son V. Nguyen, Takeshi Nogami, Deepika Priyadarshini, Hosadurga Shobha, Matthew T. Shoudy
  • Patent number: 9252048
    Abstract: A method includes defining a photoresist layer over a first dielectric layer. The first dielectric layer is disposed over an etch stop layer and the etch stop layer is disposed over a second dielectric layer. A spacer layer is formed over the photoresist and the first dielectric layer. The spacer layer has an opening that has a via width. The opening is disposed directly above a via location. A metal trench with a metal width is formed in the first dielectric layer. The metal width at the via location is greater than the via width. A via hole with the via width is formed at the via location in the second dielectric layer.
    Type: Grant
    Filed: February 26, 2014
    Date of Patent: February 2, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yen-Cheng Lu, Chih-Tsung Shih, Shinn-Sheng Yu, Jeng-Horng Chen, Anthony Yen
  • Patent number: 8980752
    Abstract: A method of forming a plurality of spaced features includes forming sacrificial hardmask material over underlying material. The sacrificial hardmask material has at least two layers of different composition. Portions of the sacrificial hardmask material are removed to form a mask over the underlying material. Individual features of the mask have at least two layers of different composition, with one of the layers of each of the individual features having a tensile intrinsic stress of at least 400.0 MPa. The individual features have a total tensile intrinsic stress greater than 0.0 MPa. The mask is used while etching into the underlying material to form a plurality of spaced features comprising the underlying material. Other implementations are disclosed.
    Type: Grant
    Filed: July 22, 2013
    Date of Patent: March 17, 2015
    Assignee: Micron Technology, Inc.
    Inventors: Farrell Good, Baosuo Zhou, Xiaolong Fang, Fatma Arzum Simsek-Ege
  • Patent number: 8932947
    Abstract: Embodiments of the present invention provide methods to etching a recess channel in a semiconductor substrate, for example, a silicon containing material. In one embodiment, a method of forming a recess structure in a semiconductor substrate includes transferring a silicon substrate into a processing chamber having a patterned photoresist layer disposed thereon exposing a portion of the substrate, providing an etching gas mixture including a halogen containing gas and a Cl2 gas into the processing chamber, supplying a RF source power to form a plasma from the etching gas mixture, supplying a pulsed RF bias power in the etching gas mixture, and etching the portion of the silicon substrate exposed through the patterned photoresist layer in the presence of the plasma.
    Type: Grant
    Filed: July 23, 2013
    Date of Patent: January 13, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Joo Won Han, Kee Young Cho, Han Soo Cho, Sang Wook Kim, Anisul H. Khan
  • Patent number: 8906810
    Abstract: An all-in-one trench-over-via etch wherein etching of a low-k material beneath a metal hard mask of titanium nitride containing material is carried out in alternating steps of (a) etching the low-k material while maintaining chuck temperature at about 45 to 80° C. and (b) metal hard mask rounding and Ti-based residues removal while maintaining chuck temperature at about 90 to 130° C.
    Type: Grant
    Filed: May 7, 2013
    Date of Patent: December 9, 2014
    Assignee: Lam Research Corporation
    Inventors: Ananth Indrakanti, Bhaskar Nagabhirava, Alan Jensen, Tom Choi
  • Patent number: 8877610
    Abstract: In various embodiments, a method of patterning a substrate may include: forming an auxiliary layer on or above a substrate and forming a plasma etch mask layer on or above the auxiliary layer, wherein the auxiliary layer is configured such that it may be removed from the substrate more easily than the plasma etch mask layer; patterning the plasma etch mask layer and the auxiliary layer such that at least a portion of the substrate is exposed; patterning the substrate by means of a plasma etch process using the patterned plasma etch mask layer as a plasma etch mask.
    Type: Grant
    Filed: June 20, 2011
    Date of Patent: November 4, 2014
    Assignee: Infineon Technologies AG
    Inventor: Manfred Engelhardt
  • Patent number: 8846540
    Abstract: A semiconductor device includes a semiconductor substrate having an etch target layer provided on the surface thereof, and a hard mask layer formed over the etch target layer and including silicon, wherein the hard mask layer includes a dual structure including a first area and a second area having a larger etch rate than the first area, in order to increase an etching selectivity of the hard mask layer.
    Type: Grant
    Filed: December 12, 2012
    Date of Patent: September 30, 2014
    Assignee: SK Hynix Inc.
    Inventors: Sung-Kwon Lee, Jun-Hyeub Sun, Young-Kyun Jung
  • Patent number: 8803158
    Abstract: A High Electron Mobility Transistor (HEMT) includes a first III-V compound layer having a first band gap, and a second III-V compound layer having a second band gap over the first III-V compound layer. The second band gap is greater than the first band gap. A crystalline interfacial layer is overlying and in contact with the second III-V compound layer. A gate dielectric is over the crystalline interfacial layer. A gate electrode is over the gate dielectric. A source region and a drain region are over the second III-V compound layer, and are on opposite sides of the gate electrode.
    Type: Grant
    Filed: February 18, 2013
    Date of Patent: August 12, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Han-Chin Chiu, Po-Chun Liu, Chi-Ming Chen, Chung-Yi Yu, King-Yuen Wong
  • Patent number: 8765592
    Abstract: A method for contacting MOS devices. First openings in a photosensitive material are formed over a substrate having a top dielectric in a first die area and a second opening over a gate stack in a second die area having the top dielectric, a hard mask, and a gate electrode. The top dielectric layer is etched to form a semiconductor contact while etching at least a portion the hard mask layer thickness over a gate contact area exposed by the second opening. An inter-layer dielectric (ILD) is deposited. A photosensitive material is patterned to generate a third opening in the photosensitive material over the semiconductor contact and a fourth opening inside the gate contact area. The ILD is etched through to reopen the semiconductor contact while etching through the ILD and residual hard mask if present to provide a gate contact to the gate electrode.
    Type: Grant
    Filed: March 29, 2012
    Date of Patent: July 1, 2014
    Assignee: Texas Instruments Incorporated
    Inventors: Fei Xie, Wen Cheng Tien, Ya Ping Chen, Li Bin Man, Kuo Jung Chen, Yu Liu, Tian Yi Zhang, Sisi Xie
  • Patent number: 8765549
    Abstract: Capacitor designs for substrates, such as interposers, and methods of manufacture thereof are disclosed. In an embodiment, a capacitor is formed between a through via and a lower level metallization layer. The capacitor may be, for example, a planar capacitor formed on the substrate or on a dielectric layer formed over the substrate.
    Type: Grant
    Filed: April 27, 2012
    Date of Patent: July 1, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chun Hua Chang, Shin-Puu Jeng, Der-Chyang Yeh, Shang-Yun Hou, Wen-Chih Chiou
  • Patent number: 8759131
    Abstract: Techniques are provided for manufacturing a light-emitting device having high internal quantum efficiency, consuming less power, having high luminance, and having high reliability. The techniques include forming a conductive light-transmitting oxide layer comprising a conductive light-transmitting oxide material and silicon oxide, forming a barrier layer in which density of the silicon oxide is higher than that in the conductive light-transmitting oxide layer over the conductive light-transmitting oxide layer, forming an anode having the conductive light-transmitting oxide layer and the barrier layer, heating the anode under a vacuum atmosphere, forming an electroluminescent layer over the heated anode, and forming a cathode over the electroluminescent layer. According to the techniques, the barrier layer is formed between the electroluminescent layer and the conductive light-transmitting oxide layer.
    Type: Grant
    Filed: January 26, 2010
    Date of Patent: June 24, 2014
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Kengo Akimoto, Junichiro Sakata, Yoshiharu Hirakata, Norihito Sone
  • Patent number: 8748280
    Abstract: There is provided fin methods for fabricating fin structures. More specifically, fin structures are formed in a substrate. The fin structures may include two fins separated by a channel, wherein the fins may be employed as fins of a field effect transistor. The fin structures are formed below the upper surface of the substrate, and may be formed without utilizing a photolithographic mask to etch the fins.
    Type: Grant
    Filed: December 13, 2011
    Date of Patent: June 10, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Sanh D. Tang, Gordon Haller
  • Patent number: 8658532
    Abstract: Various lithography methods are disclosed. An exemplary lithography method includes forming a first patterned silicon-containing organic polymer layer over a substrate by removing a first patterned resist layer, wherein the first patterned silicon-containing organic polymer layer includes a first opening having a first dimension and a second opening having the first dimension, the first opening and the second opening exposing the substrate; forming a second patterned silicon-containing organic polymer layer over the substrate by removing a second patterned resist layer, wherein a portion of the patterned second silicon-containing organic polymer layer combines with a portion of the first patterned silicon-containing organic polymer layer to reduce the first dimension of the second opening to a second dimension; and etching the substrate exposed by the first opening having the first dimension and the second opening having the second dimension.
    Type: Grant
    Filed: August 30, 2012
    Date of Patent: February 25, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Ching-Yu Chang
  • Patent number: 8617979
    Abstract: According to one embodiment, a method can include dry etching an interlayer insulating layer provided on a foundation layer by using a mask having a plurality of first openings and a plurality of second openings arranged more closely than the first openings to form simultaneously a first hole reaching the foundation layer under each of the first openings and a second hole reaching the foundation layer under the second openings. The first hole reaches the foundation layer without contacting any other first holes. After starting of the dry etching, a plurality of holes are formed under each of the plurality of second openings, and with the progress of the dry etching, the plurality of holes are connected with each other at least at their upper parts including their open ends to form the second hole having an opening area larger than an opening area of the first hole.
    Type: Grant
    Filed: September 15, 2011
    Date of Patent: December 31, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Kenichi Ide
  • Patent number: 8604562
    Abstract: The embodiments of mechanisms described enables improved planarity of substrates, which is crucial for patterning and device yield improvement. Chemical-mechanical polishing (CMP) is used to remove film to planarize the substrate before the final thickness is reached or before all removal film is polished. The substrate is then measured for its topography and film thickness. The topography and thickness data are used by the gas cluster ion beam (GCIB) etch tool to determine how much film to remove on a particular location. GCIB etch enables removal of final layer to meet the requirements of substrate uniformity and thickness target. The mechanisms enable improved planarity to meet the requirement of advanced processing technologies.
    Type: Grant
    Filed: May 8, 2012
    Date of Patent: December 10, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Shiang-Bau Wang
  • Patent number: 8598040
    Abstract: A method for etching features in a plurality of silicon based bilayers forming a stack on a wafer in a plasma processing chamber is provided. A main etch gas is flowed into the plasma processing chamber. The main etch gas is formed into a plasma, while providing a first pressure. A wafer temperature of less than 20° C. is maintained. The pressure is ramped to a second pressure less than the first pressure as the plasma etches through a plurality of the plurality of silicon based bilayers. The flow of the main etch gas is stopped after a first plurality of the plurality of bilayers is etched.
    Type: Grant
    Filed: September 6, 2011
    Date of Patent: December 3, 2013
    Assignee: Lam Research Corporation
    Inventors: Anne Le Gouil, Jeffrey R. Lindain, Yasushi Ishikawa, Yoko Yamaguchi-Adams
  • Patent number: 8568900
    Abstract: Methods of forming a metal oxide surface that is enriched with metal oxide in its higher oxidation state are provided. A metal oxide surface that is enriched with metal oxide in its higher oxidation state is also provided.
    Type: Grant
    Filed: June 25, 2008
    Date of Patent: October 29, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Stephen W. Russell, Max Hineman
  • Patent number: 8546265
    Abstract: A method for manufacturing a silicon structure according to the present invention includes, in a so-called dry-etching process wherein gas-switching is employed, the steps of: etching a portion in the silicon region at a highest etching rate under a high-rate etching condition such that the portion does not reach the etch stop layer; subsequently etching under a transition etching condition in which an etching rate is decreased with time from the highest etching rate in the high-rate etching condition; and thereafter, etching the silicon region under a low-rate etching condition of a lowest etching rate in the transition etching condition.
    Type: Grant
    Filed: April 8, 2009
    Date of Patent: October 1, 2013
    Assignee: SPP Technologies Co., Ltd.
    Inventors: Yoshiyuki Nozawa, Takashi Yamamoto
  • Patent number: 8518777
    Abstract: A method of forming an accumulation-mode field effect transistor includes forming a channel region of a first conductivity type in a semiconductor region of the first conductivity type. The channel region may extend from a top surface of the semiconductor region to a first depth within the semiconductor region. The method also includes forming gate trenches in the semiconductor region. The gate trenches may extend from the top surface of the semiconductor region to a second depth within the semiconductor region below the first depth. The method also includes forming a first plurality of silicon regions of a second conductivity type in the semiconductor region such that the first plurality of silicon regions form P-N junctions with the channel region along vertical walls of the first plurality of silicon regions.
    Type: Grant
    Filed: April 8, 2011
    Date of Patent: August 27, 2013
    Assignee: Fairchild Semiconductor Corporation
    Inventor: Praveen Muraleedharan Shenoy
  • Patent number: 8501501
    Abstract: A sample with at least a first structure and a second structure is measured and a first model and a second model of the sample are generated. The first model models the first structure as an independent variable and models the second structure. The second model of the sample models the second structure as an independent variable. The measurement, the first model and the second model together to determine at least one desired parameter of the sample. For example, the first structure may be on a first layer and the second structure may be on a second layer that is under the first layer, and the processing of the sample may at least partially remove the first layer, wherein the second model models the first layer as having a thickness of zero.
    Type: Grant
    Filed: July 26, 2012
    Date of Patent: August 6, 2013
    Assignee: Nanometrics Incorporated
    Inventors: Ye Feng, Zhuan Liu
  • Patent number: 8502291
    Abstract: Some embodiments include memory cells including a memory component having a first conductive material, a second conductive material, and an oxide material between the first conductive material and the second conductive material. A resistance of the memory component is configurable via a current conducted from the first conductive material through the oxide material to the second conductive material. Other embodiments include a diode comprising metal and a dielectric material and a memory component connected in series with the diode. The memory component includes a magnetoresistive material and has a resistance that is changeable via a current conducted through the diode and the magnetoresistive material.
    Type: Grant
    Filed: April 20, 2011
    Date of Patent: August 6, 2013
    Assignee: Micron Technology, Inc.
    Inventor: Chandra Mouli
  • Patent number: 8492278
    Abstract: A method of forming a plurality of spaced features includes forming sacrificial hardmask material over underlying material. The sacrificial hardmask material has at least two layers of different composition. Portions of the sacrificial hardmask material are removed to form a mask over the underlying material. Individual features of the mask have at least two layers of different composition, with one of the layers of each of the individual features having a tensile intrinsic stress of at least 400.0 MPa. The individual features have a total tensile intrinsic stress greater than 0.0 MPa. The mask is used while etching into the underlying material to form a plurality of spaced features comprising the underlying material. Other implementations are disclosed.
    Type: Grant
    Filed: March 30, 2010
    Date of Patent: July 23, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Farrell Good, Baosuo Zhou, Xiaolong Fang, Fatma Arzum Simsek-Ege
  • Publication number: 20130143406
    Abstract: A method for manufacturing a semiconductor device includes forming a patterned photoresist layer over a substrate, performing a plasma ashing process to the patterned photoresist layer, thereby removing a portion of the patterned photoresist layer, exposing the patterned photoresist layer to broadband ultraviolet radiation and ozone, thereby removing other portions of the patterned photoresist layer, and performing a cleaning of the patterned photoresist layer after exposing the patterned photoresist layer to broadband ultraviolet radiation and ozone.
    Type: Application
    Filed: December 6, 2011
    Publication date: June 6, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yu-Rung Hsu, Sung-Hsun Wu, Kuo Bin Huang
  • Patent number: 8455352
    Abstract: Native oxides and associated residue are removed from surfaces of a substrate by sequentially performing two plasma cleaning processes on the substrate in a single processing chamber. The first plasma cleaning process removes native oxide formed on a substrate surface by generating a cleaning plasma from a mixture of ammonia (NH3) and nitrogen trifluoride (NF3) gases, condensing products of the cleaning plasma on the native oxide to form a thin film that contains ammonium hexafluorosilicate ((NH4)2SiF6), and subliming the thin film off of the substrate surface. The second plasma cleaning process removes remaining residues of the thin film by generating a second cleaning plasma from nitrogen trifluoride gas. Products of the second cleaning plasma react with a few angstroms of the bare silicon present on the surface, forming silicon tetrafluoride (SiF4) and lifting off residues of the thin film.
    Type: Grant
    Filed: May 24, 2012
    Date of Patent: June 4, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Bo Zheng, Arvind Sundarrajan, Xinyu Fu
  • Patent number: 8445296
    Abstract: Methods and apparatus for performing end point determination. A method includes receiving a wafer into an etch tool chamber for performing an RIE etch; beginning the RIE etch to form vias in the wafer; receiving in-situ measurements of one or more physical parameters of the etch tool chamber that are correlated to the RIE etch process; providing a virtual metrology model for the RIE etch in the chamber; inputting the received in-situ measurements to the virtual metrology model for the RIE etch in the chamber; executing the virtual metrology model to estimate the current via depth; comparing the estimated current via depth to a target depth; and when the comparing indicates the current via depth is within a predetermined threshold of the target depth; outputting a stop signal. An apparatus for use with the method embodiment is disclosed.
    Type: Grant
    Filed: July 22, 2011
    Date of Patent: May 21, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien Rhone Wang, Tzu-Cheng Lin, Yu-Jen Cheng, Chih-Wei Lai, Hung-Pin Chang, Tsang-Jiuh Wu
  • Publication number: 20130115778
    Abstract: Provided methods of etching and/or patterning films. Certain methods comprise exposing at least part of a film on a substrate, the film comprising one or more of HfO2, HfBxOy, ZrO2, ZrBxOy, to a plasma comprising BCl3 and argon to etch away said at least part of the film. Certain other methods relate to patterning substrates using said methods of etching films.
    Type: Application
    Filed: August 22, 2012
    Publication date: May 9, 2013
    Applicant: Applied Materials, Inc.
    Inventors: Jun Xue, Jie Liu, Yongmei Chen, Timothy Michaelson, Paul Deaton, Timothy W. Weidman, Christopher S. Ngai
  • Publication number: 20130084706
    Abstract: The invention provides a plurality of Surface Wave Antenna (SWA) plasma sources. The SWA plasma sources can comprise one or more non-circular slot antennas, each having a plurality of plasma-tuning rods extending therethrough. Some of the plasma tuning rods can be configured to couple the electromagnetic (EM) energy from one or more of the non-circular slot antennas to the process space within the process chamber. The invention also provides SWA plasma sources that can comprise a plurality of resonant cavities, each having one or more plasma-tuning rods extending therefrom. Some of the plasma tuning rods can be configured to couple the EM energy from one or more of the resonant cavities to the process space within the process chamber.
    Type: Application
    Filed: September 30, 2011
    Publication date: April 4, 2013
    Applicant: Tokyo Electron Limited
    Inventors: Jianping Zhao, Lee Chen, Merritt Funk, Toshihiko Iwao, Peter L.G. Ventzek
  • Patent number: 8404534
    Abstract: A method for fabricating a semiconductor device includes forming a plurality of gate structures on a semiconductor substrate. The plurality of gate structures are arranged in a plurality of lines, wherein an end-to-end spacing between the lines is smaller than a line-to-line spacing between the lines. The method further includes forming an etch stop layer over the gate structures, forming an interlayer dielectric over the gate structures, and forming a dielectric film over the gate structures before the interlayer dielectric is formed. The dielectric film merges in end-to-end gaps formed in the end-to-end spacing between the gate structures.
    Type: Grant
    Filed: February 11, 2011
    Date of Patent: March 26, 2013
    Inventor: Shiang-Bau Wang
  • Patent number: 8361275
    Abstract: When a substrate is etched by using a processing gas including a first gas containing halogen and carbon and having a carbon number of two or less per molecule, while supplying the processing gas toward the substrate independently from a central and a peripheral portion of a gas supply unit, which face the central and the periphery part of the substrate respectively, the processing gas is supplied such that a gas flow rate is greater in the central portion than in the peripheral portion. When the substrate is etched by using a processing gas including a second gas containing halogen and carbon and having a carbon number of three or more per molecule, the processing gas is supplied such that a gas flow rate is greater in the peripheral portion than in the central portion.
    Type: Grant
    Filed: March 8, 2012
    Date of Patent: January 29, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Tahara, Masaru Nishino
  • Publication number: 20130012026
    Abstract: A method for fabricating recessed source and recessed drain regions of aggressively scaled CMOS devices. In this method a processing sequence of plasma etch, deposition, followed by plasma etch is used to controllably form recessed regions of the source and the drain in the channel of a thin body, much less than 40 nm, device to enable subsequent epitaxial growth of SiGe, SiC, or other materials, and a consequent increase in the device and ring oscillator performance. A Field Effect Transistor device is also provided, which includes: a buried oxide layer; a silicon layer above the buried oxide layer; an isotropically recessed source region; an isotropically recessed drain region; and a gate stack which includes a gate dielectric, a conductive material, and a spacer.
    Type: Application
    Filed: September 12, 2012
    Publication date: January 10, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Nicholas C. Fuller, Steve Koester, Isaac Lauer, Ying Zhang
  • Publication number: 20120322267
    Abstract: In various embodiments, a method of patterning a substrate may include: forming an auxiliary layer on or above a substrate and forming a plasma etch mask layer on or above the auxiliary layer, wherein the auxiliary layer is configured such that it may be removed from the substrate more easily than the plasma etch mask layer; patterning the plasma etch mask layer and the auxiliary layer such that at least a portion of the substrate is exposed; patterning the substrate by means of a plasma etch process using the patterned plasma etch mask layer as a plasma etch mask.
    Type: Application
    Filed: June 20, 2011
    Publication date: December 20, 2012
    Applicant: INFINEON TECHNOLOGIES AG
    Inventor: Manfred Engelhardt
  • Patent number: 8324056
    Abstract: A vertical pillar semiconductor device may include a substrate, a group of channel patterns, a gate insulation layer pattern and a gate electrode. The substrate may be divided into an active region and an isolation layer. A first impurity region may be formed in the substrate corresponding to the active region. The group of channel patterns may protrude from a surface of the active region and may be arranged parallel to each other. A second impurity region may be formed on an upper portion of the group of channel patterns. The gate insulation layer pattern may be formed on the substrate and a sidewall of the group of channel patterns. The gate insulation layer pattern may be spaced apart from an upper face of the group of channel patterns. The gate electrode may contact the gate insulation layer and may enclose a sidewall of the group of channel patterns.
    Type: Grant
    Filed: October 7, 2011
    Date of Patent: December 4, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-Hoon Son, Jong-Wook Lee, Jong-Hyuk Kang
  • Publication number: 20120292671
    Abstract: Disclosed herein is a method of forming a semiconductor device. In one example, the method comprises forming a gate electrode structure above a semiconducting substrate and forming a plurality of spacers proximate the gate electrode structures, wherein the plurality of spacers comprises a first silicon nitride spacer positioned adjacent a sidewall of the gate electrode structure, a generally L-shaped silicon nitride spacer positioned adjacent the first silicon nitride spacer, and a silicon dioxide spacer positioned adjacent the generally L-shaped silicon nitride spacer.
    Type: Application
    Filed: May 16, 2011
    Publication date: November 22, 2012
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Peter Baars, Sven Beyer, Jan Hoentschel, Thilo Scheiper
  • Publication number: 20120279656
    Abstract: A system for forming a feature includes forming a mask of a first material on an underlying layer, the mask having an incorrect profile. The profile of the mask is corrected and a feature is formed in the underlying layer. A method of forming a feature is also disclosed.
    Type: Application
    Filed: August 14, 2008
    Publication date: November 8, 2012
    Inventor: Robert Charatan
  • Patent number: 8258056
    Abstract: A method of lithography patterning includes forming a first material layer on a substrate; forming a first patterned resist layer including at least one opening therein on the first material layer; forming a second material layer on the first patterned resist layer and the first material layer; forming a second patterned resist layer including at least one opening therein on the second material layer; and etching the first and second material layers uncovered by the first and second patterned resist layers.
    Type: Grant
    Filed: June 11, 2010
    Date of Patent: September 4, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Ching-Yu Chang
  • Patent number: 8252659
    Abstract: The present disclosure is related to method for producing a semiconductor device comprising the steps of: providing a semiconductor substrate (1), comprising active components on the surface of said substrate, depositing a top layer (2) of dielectric material on the surface of said substrate or on other dielectric layers present on said surface, etching at least one first opening (7) at least through said top layer, filling said opening(s) at least with a first conductive material (8), and performing a first CMP step, to form said first conductive structures (3,26), etching at least one second opening (13) at least through said top layer, filling said opening(s) at least with a second conductive material (10), and performing a second CMP step, to form said second conductive structures (4,24), wherein the method comprises the step of depositing a common CMP stopping layer (5,25) on said dielectric top layer, before the steps of etching and filling said first opening(s), so that said same CMP stopping layer is
    Type: Grant
    Filed: December 1, 2009
    Date of Patent: August 28, 2012
    Assignee: IMEC
    Inventors: Cedric Huyghebaert, Jan Vaes, Jan Van Olmen
  • Patent number: 8211804
    Abstract: In a method of forming a hole, an insulation layer is formed on a substrate, and a preliminary hole exposing the substrate is formed through the insulation layer. A photosensitive layer pattern including an organic polymer is then formed on the substrate to fill the preliminary hole. An etching gas including hydrogen fluoride (HF) or fluorine (F2) is then provided onto the photosensitive layer pattern to etch the insulation layer so that width of the preliminary hole is increased.
    Type: Grant
    Filed: February 11, 2011
    Date of Patent: July 3, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyo-San Lee, Bo-Un Yoon, Kun-Tack Lee, Dae-Hyuk Kang, Seong-Ho Moon, So-Ra Han
  • Patent number: 8207532
    Abstract: A new method is provided for the creation of a hole through a layer of insulating material. The method provides for combining a feed-forward method with a feed backward method and a high-polymer based hole profile, in order to establish a hole of a constant Critical Dimension for the hole bottom, making the CD of the hole bottom independent of the CD of the opening created through the overlying developed layer of photoresist and independent of the thickness of the layer of insulator material after CMP has been applied to the surface of the insulation layer.
    Type: Grant
    Filed: September 12, 2003
    Date of Patent: June 26, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chi-An Kao, Yung-Chang Chang, Yu-Ping Chang, Ling-Sung Wang
  • Patent number: 8180141
    Abstract: A system for wafer repair, comprising an inspection tool being capable of extracting a wafer image of a semiconductor wafer; a direct-writing tool being capable of locally exposing the semiconductor wafer; and an information processing module configured to compare the wafer image with a reference image and generate data of locations and patterns of defective regions and communicate the data of locations and patterns of defective regions to the direct-writing tool, wherein the reference image comprises a pattern consisting of a scanned image of another die having no defective region.
    Type: Grant
    Filed: November 2, 2007
    Date of Patent: May 15, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chin-Hsiang Lin, Burn Jeng Lin, Tsai-Shen Gau
  • Patent number: 8148270
    Abstract: A method of removing a silicon nitride or a nitride-based bottom etch stop layer in a copper damascene structure by etching the bottom etch stop layer is disclosed, with the method using a high density, high radical concentration plasma containing fluorine and oxygen to minimize back sputtering of copper underlying the bottom etch stop layer and surface roughening of the low-k interlayer dielectric caused by the plasma.
    Type: Grant
    Filed: March 19, 2010
    Date of Patent: April 3, 2012
    Assignee: Taiwan Semiconductor Manufactuiring Co., Ltd.
    Inventors: Hun-Jan Tao, Ryan Chia-Jen Chen, Mong-Song Liang
  • Patent number: 8133814
    Abstract: Methods are provided for fabricating a semiconductor device. One embodiment includes forming an insulator layer overlying a semiconductor substrate and depositing a layer of polycrystalline silicon overlying the insulator layer. Conductivity determining impurity ions are implanted into at least an upper portion of the layer of polycrystalline silicon. At least the upper portion of the layer of polycrystalline silicon is etched using a first anisotropic etch chemistry to expose an edge portion of the upper portion. An oxide barrier is formed on the edge portion and a further portion of the layer of polycrystalline silicon is etched using the first anisotropic etch chemistry. Then a final portion of the layer of polycrystalline silicon is etched using a second anisotropic etch chemistry.
    Type: Grant
    Filed: December 3, 2010
    Date of Patent: March 13, 2012
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Steffen Laufer, Gunter Grasshoff
  • Patent number: 8133779
    Abstract: A conductive film is formed to extend from a bottom and a sidewall of a recess formed in an interlayer insulating film onto a top surface of the interlayer insulating film. Dry etching of the conductive film is performed such that a portion of the conductive film remains on the bottom and sidewall of the recess. The dry etching is also performed such that a deposition film is formed on a top portion of the recess.
    Type: Grant
    Filed: October 12, 2009
    Date of Patent: March 13, 2012
    Assignee: Elpida Memory, Inc.
    Inventor: Keisuke Ohtsuka
  • Publication number: 20120052685
    Abstract: A thermosetting silicon-containing film-forming composition for forming a silicon-containing film to be formed in a multi-layer resist process used in lithography, the composition including at least: (A) a silicon-containing compound obtained by hydrolysis-condensation of a hydrolyzable silicon compound and compound(s) selected from the group consisting of a hydrolyzable silicon compound and a reactive compound; (B) a thermal crosslinking accelerator; (C) an organic acid with one, or two or more valency having 1 to 30 carbon atoms; and (D) an organic solvent.
    Type: Application
    Filed: August 8, 2011
    Publication date: March 1, 2012
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Tsutomu OGIHARA, Takafumi UEDA, Toshiharu YANO, Koji HASEGAWA
  • Patent number: 8124516
    Abstract: A method of forming dual damascene features in a porous low-k dielectric layer is provided. Vias are formed in the porous low-k dielectric layer. An organic planarization layer is formed over the porous low-k dielectric layer, wherein the organic layer fills the vias. A photoresist mask is formed over the organic planarization layer. Features are etched into the organic planarization layer comprising providing a CO2 containing etch gas and forming a plasma from the CO2 containing etch gas, which etches the organic planarization layer. Trenches are etched into the porous low-k dielectric layer using the organic planarization layer as a mask. The organic planarization layer is stripped.
    Type: Grant
    Filed: August 21, 2006
    Date of Patent: February 28, 2012
    Assignee: Lam Research Corporation
    Inventors: Sean S. Kang, Sang Jun Cho, Tom Choi, Taejoon Han
  • Patent number: 8125069
    Abstract: A method for manufacturing a semiconductor device comprises dry-etching a thin film using a resist mask carrying patterns in which at least one of the width of each pattern and the space between neighboring two patterns ranges from 32 to 130 nm using a halogenated carbon-containing compound gas with the halogen being at least two members selected from the group consisting of F, I and Br. The ratio of at least one of I and Br is not more than 26% of the total amount of the halogen atoms as expressed in terms of the atomic compositional ratio to transfer the patterns onto the thin film. Such etching of a thin film avoids causing damage to the resist mask used. The resulting thin film carrying the transferred patterns is used as a mask for subjecting the underlying material to dry-etching.
    Type: Grant
    Filed: July 6, 2010
    Date of Patent: February 28, 2012
    Assignee: Philtech Inc.
    Inventors: Toshio Hayashi, Yasuhiro Morikawa, Michio Ishikawa, Yuji Furumura, Naomi Mura
  • Patent number: 8119016
    Abstract: The present invention relates to a method for removing metal oxides from a substrate surface. In one particular embodiment, the method comprises: providing a substrate, a first, and a second electrode that reside within a target area; passing a gas mixture comprising a reducing gas through the target area; supplying an amount of energy to the first and/or the second electrode to generate electrons within the target area wherein at least a portion of the electrons attach to a portion of the reducing gas and form a negatively charged reducing gas; and contacting the substrate with the negatively charged reducing gas to reduce the metal oxides on the surface of the substrate.
    Type: Grant
    Filed: March 4, 2008
    Date of Patent: February 21, 2012
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Chun Christine Dong, Wayne Thomas McDermott, Alexander Schwarz, Gregory Khosrov Arslanian, Richard E. Patrick
  • Patent number: 8070972
    Abstract: The present invention relates to an etching method for etching a film to form a concave portion therein with the use of a photoresist mask provided with an opening. In this method, there is determined, in advance, a first correlation between a parameter value and an opening dimension of the concave portion, as a process parameter for the etching process, when the etching process is conducted with the use of the mask provided with the opening of a reference opening dimension. In addition, there is determined, in advance, a second correlation between a variation in opening dimension of the mask and a variation in opening dimension of the concave portion. When conducting the etching process, an actual opening dimension of the mask is measured.
    Type: Grant
    Filed: March 29, 2007
    Date of Patent: December 6, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Hiroshi Tsujimoto
  • Patent number: 8063441
    Abstract: A vertical pillar semiconductor device may include a substrate, a group of channel patterns, a gate insulation layer pattern and a gate electrode. The substrate may be divided into an active region and an isolation layer. A first impurity region may be formed in the substrate corresponding to the active region. The group of channel patterns may protrude from a surface of the active region and may be arranged parallel to each other. A second impurity region may be formed on an upper portion of the group of channel patterns. The gate insulation layer pattern may be formed on the substrate and a sidewall of the group of channel patterns. The gate insulation layer pattern may be spaced apart from an upper face of the group of channel patterns. The gate electrode may contact the gate insulation layer and may enclose a sidewall of the group of channel patterns.
    Type: Grant
    Filed: November 3, 2009
    Date of Patent: November 22, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-Hoon Son, Jong-Wook Lee, Jong-Hyuk Kang
  • Patent number: 8048689
    Abstract: Various semiconductor devices and methods of testing such devices are disclosed. In one aspect, a method of manufacturing is provided that includes forming a bore from a backside of a semiconductor chip through a buried insulating layer and to a semiconductor device layer of the semiconductor chip. A conductor structure is formed in the bore to establish an electrically conductive pathway between the semiconductor device layer and the conductor structure. The conductor structure may provide a diagnostic pathway.
    Type: Grant
    Filed: September 25, 2008
    Date of Patent: November 1, 2011
    Assignee: Globalfoundries Inc.
    Inventors: Liang Wang, Michael R. Bruce