Of Semiconductor Material, E.g., By Oxidation Of Semiconductor Body Itself (epo) Patents (Class 257/E21.283)
  • Patent number: 10600642
    Abstract: There is provided a technique which includes: forming a film containing at least Si, O and N on a substrate in a process chamber by performing a cycle a predetermined number of times, the cycle including non-simultaneously performing: forming a first layer by supplying a precursor gas containing at least a Si—N bond and a Si—Cl bond and a first catalyst gas to the substrate; exhausting the precursor gas and the first catalyst gas in the process chamber through an exhaust system; forming a second layer by supplying an oxidizing gas and a second catalyst gas to the substrate to modify the first layer; and exhausting the oxidizing gas and the second catalyst gas in the process chamber through the exhaust system.
    Type: Grant
    Filed: January 30, 2018
    Date of Patent: March 24, 2020
    Assignee: Kokusai Electric Corporation
    Inventors: Yoshiro Hirose, Yoshitomo Hashimoto
  • Patent number: 8932954
    Abstract: According to one embodiment, an impurity analysis method comprises performing vapor-phase decomposition on a silicon-containing film formed on a substrate, heating the substrate at a first temperature after vapor phase decomposition, heating the substrate at a second temperature higher than the first temperature after heating at the first temperature, to remove a silicon compound deposited on the surface of the silicon-containing film, dropping a recovery solution onto the substrate surface after heating at the second temperature and moving the substrate surface, to recover metal into the recovery solution, and drying the recovery solution, to perform X-ray fluorescence spectrometry on a dried mark.
    Type: Grant
    Filed: August 24, 2012
    Date of Patent: January 13, 2015
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yuji Yamada, Makiko Katano, Chikashi Takeuchi, Tomoyo Naito
  • Patent number: 8809204
    Abstract: A method of manufacturing a semiconductor device, the method comprising: forming an oxide film on a substrate by alternately repeating: (a) forming an element-containing layer on the substrate by supplying a source gas containing an element into a process vessel accommodating the substrate; and (b) changing the element-containing layer to an oxide layer by supplying an oxygen-containing gas and a hydrogen-containing gas into the process vessel having an inside pressure lower than atmospheric pressure, reacting the oxygen-containing gas with the hydrogen-containing gas to generate an atomic oxygen, and oxidizing the element-containing layer by the atomic oxygen.
    Type: Grant
    Filed: November 1, 2012
    Date of Patent: August 19, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Naonori Akae, Yoshiro Hirose, Yushin Takasawa, Yosuke Ota
  • Patent number: 8501636
    Abstract: A method for fabricating silicon dioxide layer is disclosed. The method includes the following steps. Firstly, a semiconductor substrate is provided. Next, the semiconductor substrate is cleaned with a solution containing hydrogen peroxide to form a chemical oxide layer on the semiconductor substrate. Then, the chemical oxide layer is heated in no oxygen atmosphere, such that the chemical oxide layer forms a compact layer. Then, the semiconductor substrate is heated in oxygen atmosphere to form a silicon dioxide layer between the semiconductor substrate and the compact layer.
    Type: Grant
    Filed: July 24, 2012
    Date of Patent: August 6, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Shao-Wei Wang, Yu-Ren Wang, Chien-Liang Lin, Ying-Wei Yen, Kun-Yuan Lo, Chih-Wei Yang
  • Patent number: 8435906
    Abstract: Methods and apparatus for forming an oxide layer on a semiconductor substrate are disclosed. In one or more embodiments, plasma oxidation is used to form a conformal oxide layer by controlling the temperature of the semiconductor substrate at below about 100° C. Methods for controlling the temperature of the semiconductor substrate according to one or more embodiments include utilizing an electrostatic chuck and a coolant and gas convection.
    Type: Grant
    Filed: January 22, 2010
    Date of Patent: May 7, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Agus S. Tjandra, Christopher S. Olsen, Johanes F. Swenberg, Yoshitaka Yokota
  • Publication number: 20130059451
    Abstract: A method of manufacturing a semiconductor device, the method comprising: forming an oxide film on a substrate by alternately repeating: (a) forming an element-containing layer on the substrate by supplying a source gas containing an element into a process vessel accommodating the substrate; and (b) changing the element-containing layer to an oxide layer by supplying an oxygen-containing gas and a hydrogen-containing gas into the process vessel having an inside pressure lower than atmospheric pressure, reacting the oxygen-containing gas with the hydrogen-containing gas to generate an atomic oxygen, and oxidizing the element-containing layer by the atomic oxygen.
    Type: Application
    Filed: November 1, 2012
    Publication date: March 7, 2013
    Applicant: HITACHI KOKUSAI ELECTRIC, INC.
    Inventor: Hitachi Kokusai Electric, Inc.
  • Patent number: 8389412
    Abstract: The invention relates to a finishing method for a silicon-on-insulator (SOI) substrate that includes an oxide layer buried between an active silicon layer and a support layer of silicon. The method includes applying the following steps in succession: a first rapid thermal annealing (RTA) of the SOI substrate; a sacrificial oxidation of the active silicon layer of the substrate conducted to remove a first oxide thickness; a second RTA of the substrate; and a second sacrificial oxidation of the active silicon layer conducted to remove a second oxide thickness that is thinner than the first oxide thickness.
    Type: Grant
    Filed: March 17, 2010
    Date of Patent: March 5, 2013
    Assignee: Soitec
    Inventors: Walter Schwarzenbach, Sébastien Kerdiles, Patrick Reynaud, Ludovic Ecarnot, Eric Neyret
  • Patent number: 8367557
    Abstract: A method of manufacturing a semiconductor device, the method comprising: forming an oxide film on a substrate by alternately repeating: (a) forming an element-containing layer on the substrate by supplying a source gas containing an element into a process vessel accommodating the substrate; and (b) changing the element-containing layer to an oxide layer by supplying an oxygen-containing gas and a hydrogen-containing gas into the process vessel having an inside pressure lower than atmospheric pressure, reacting the oxygen-containing gas with the hydrogen-containing gas to generate oxidizing species containing oxygen, and oxidizing the element-containing layer by the oxidizing species; wherein the hydrogen-containing gas is supplied into the process vessel together with the source gas in step (a).
    Type: Grant
    Filed: October 28, 2009
    Date of Patent: February 5, 2013
    Assignee: Hitachi Kokosai Electric, Inc.
    Inventors: Naonori Akae, Yoshiro Hirose, Yushin Takasawa, Yosuke Ota
  • Publication number: 20130012033
    Abstract: A silicon oxide film forming method includes forming a silicon oxide film by allowing a plasma of a processing gas to react on a silicon exposed on a surface of a target object to be processed in a processing chamber of a plasma processing apparatus. The processing gas includes an ozone-containing gas having a volume ratio of O3 to a total volume of O2 and O3, ranging 50% or more.
    Type: Application
    Filed: March 9, 2011
    Publication date: January 10, 2013
    Applicant: Tokyo Electron Limited
    Inventors: Yoshiro Kabe, Shuichiro Otao, Yoshihiro Sato
  • Patent number: 8288204
    Abstract: Methods for fabricating components with precise dimension control are described. One such method includes providing a workpiece including a top layer and a bottom layer of silicon separated by a layer of SiOx, where each of the three layers has about the same length and width, removing edge portions of the top layer, thereby exposing portions of the SiOx layer, etching the exposed portions of the SiOx layer and portions of the SiOx layer disposed between the top layer and bottom layer, thereby forming undercut sections between the top layer and bottom layer, growing a second layer of SiOx having a preselected thickness on the workpiece, depositing metal on the workpiece such that the metal deposited on the top layer is not continuous with the metal deposited on the bottom layer, and removing the bottom layer and a portion of the SiOx layer having a preselected thickness.
    Type: Grant
    Filed: August 30, 2011
    Date of Patent: October 16, 2012
    Assignee: Western Digital (Fremont), LLC
    Inventors: Lei Wang, Zongrong Liu
  • Publication number: 20120252226
    Abstract: A plasma processing method performs a plasma oxidation on a substrate, on which a trench is formed after an oxide film is formed, by using a plasma processing apparatus for plasma-processing an object by using microwave plasma. In the plasma processing method, the substrate is mounted on a mounting table to which an ion attraction high frequency voltage is applied, and the plasma oxidation is performed while applying the ion attraction high frequency voltage to the substrate. Further, a process gas used in the plasma oxidation is a mixture of a rare gas having smaller atomic weight than that of argon gas, and oxygen gas, and the plasma processing is performed at a pressure of 6.7 to 133 Pa in a depressurized chamber.
    Type: Application
    Filed: March 21, 2012
    Publication date: October 4, 2012
    Applicant: Tokyo Electron Limited
    Inventors: Yoshiro KABE, Takashi KOBAYASHI, Ryota YONEZAWA
  • Patent number: 8278175
    Abstract: Methods for fabricating FET device structures are disclosed. The methods include receiving a fin of a Si based material, and converting a region of the fin into an oxide element. The oxide element exerts pressure onto the fin where a Fin-FET device is fabricated. The exerted pressure induces compressive stress in the device channel of the Fin-FET device. The methods also include receiving a rectangular member of a Si based material and converting a region of the member into an oxide element. The methods further include patterning the member that N fins are formed in parallel, while being abutted by the oxide element, which exerts pressure onto the N fins. Fin-FET devices are fabricated in the compressed fins, which results in compressively stressed device channels. FET devices structures are also disclosed. An FET devices structure has a Fin-FET device with a fin of a Si based material. An oxide element is abutting the fin and exerts pressure onto the fin.
    Type: Grant
    Filed: June 10, 2010
    Date of Patent: October 2, 2012
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Bruce B. Doris, Ali Khakifirooz, Pranita Kulkarni, Ghavam G. Shahidi
  • Patent number: 8263501
    Abstract: A silicon dioxide film fabricating process includes the following steps. Firstly, a substrate is provided. A rapid thermal oxidation-in situ steam generation process is performed to form a silicon dioxide film on the substrate. An annealing process is performed to anneal the substrate in a first gas mixture at a temperature in the range of 1000° C. to 1100° C.
    Type: Grant
    Filed: December 15, 2010
    Date of Patent: September 11, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Chien-Liang Lin, Yu-Ren Wang, Ying-Wei Yen
  • Publication number: 20120168895
    Abstract: A device includes a substrate with a device region on which a transistor is formed. The device region includes active edge regions and an active center region which have different oxidation growth rates. A growth rate modifier (GRM) comprising dopants which modifies oxidation growth rate is employed to produce a gate oxide layer which has a uniform thickness. The GRM may enhance or retard the oxidation growth, depending on the type of dopants used. Fluorine dopants enhance oxidation growth rate while nitrogen dopants retard oxidation growth rate.
    Type: Application
    Filed: December 29, 2010
    Publication date: July 5, 2012
    Applicant: GLOBALFOUNDRIES SINGAPORE PTE. LTD.
    Inventors: Chunshan YIN, Palanivel BALASUBRAMANIAM, Jae Gon LEE, Elgin QUEK
  • Patent number: 8202805
    Abstract: A method for processing a substrate including a processing target layer and an organic film, include: a deposition/trimming process of forming a reinforcement film on a surface of the organic film and, at the same time, trimming a line width of a line portion of the organic film constituting an opening pattern. The deposition/trimming process includes an adsorption process for allowing a silicon-containing gas to be adsorbed onto the surface of the organic film and an oxidation process in which the line width of the organic film is trimmed while the adsorbed silicon-containing gas is converted into a silicon oxide film. A monovalent aminosilane is employed as the silicon-containing gas.
    Type: Grant
    Filed: March 9, 2010
    Date of Patent: June 19, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Masato Kushibiki, Eiichi Nishimura
  • Publication number: 20120142198
    Abstract: Methods of performing a wet oxidation process on a silicon containing dielectric material filling within trenches or vias defined within a substrate are provided. In one embodiment, a method of forming a dielectric material on a substrate includes forming a dielectric material on a substrate by a flowable CVD process, curing the dielectric material disposed on the substrate, performing a wet oxidation process on the dielectric material disposed on the substrate, and forming an oxidized dielectric material on the substrate.
    Type: Application
    Filed: February 14, 2012
    Publication date: June 7, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Linlin Wang, Abhijit Basu Mallick, Nitin K. Ingle
  • Publication number: 20120108077
    Abstract: Disclosed is a substrate processing apparatus that includes: a substrate supporting member that supports a substrate; a processing chamber capable of housing the substrate supporting member; a rotating mechanism that rotates the substrate supporting member; a carrying mechanism that carries out the substrate supporting member from the processing chamber; a material gas supply system that supplies material gas into the processing chamber; a nitrogen-containing-gas supply system that supplies nitrogen containing gas into the processing chamber; and a controller that controls the material gas supply system, the nitrogen-containing-gas supply system, the carrying mechanism, and the rotating mechanism, after forming a nitride film on the substrate by using the material gas and the nitrogen containing gas, to carry out the substrate supporting member that supports the substrate while being rotated from the processing chamber.
    Type: Application
    Filed: September 14, 2011
    Publication date: May 3, 2012
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Yukinao KAGA, Tatsuyuki SAITO, Masanori SAKAI, Takashi YOKOGAWA
  • Patent number: 8148271
    Abstract: A substrate processing apparatus comprises a processing chamber for storing a boat supporting multiple substrates and for processing the multiple substrates, a heater unit installed around the processing chamber for heating the substrates, and a coolant gas supply nozzle including a pipe section extending perpendicular to a main surface of the substrate supported in the boat stored in the processing chamber, and a spray hole formed on the pipe section for spraying coolant gas to at least two of the multiple substrates, wherein the coolant gas supply nozzle is formed so that the cross sectional area of the pipe section in the area where the spray hole is formed is larger than the total opening area of the spray hole.
    Type: Grant
    Filed: July 19, 2006
    Date of Patent: April 3, 2012
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Masaaki Ueno, Akira Hayashida, Masakazu Shimada, Takenori Oka
  • Publication number: 20120052693
    Abstract: When alternately performing a film deposition step where a silicon-containing gas and O3 gas are alternately supplied to a substrate on a susceptor by rotating the susceptor thereby to forma thin film of the reaction product, and an alteration step where the reaction product is altered by irradiating plasma to the substrate, plasma intensity of the plasma is changed during film deposition. Specifically, the plasma intensity is lower when a thickness of the thin film is small (or at an initial stage of the film deposition—alteration step), and is increased as the thin film becomes thicker (or as the number of the film deposition steps is increased). Alternatively, the plasma intensity is higher when the thin film is relatively thin and then reduced.
    Type: Application
    Filed: August 24, 2011
    Publication date: March 1, 2012
    Applicant: Tokyo Electron Limited
    Inventors: Shigenori OZAKI, Hitoshi Kato, Takeshi Kumagai
  • Publication number: 20110318939
    Abstract: A composition comprising a high order silane compound and a solvent, wherein the solvent contains a cyclic hydrocarbon which has one or two double bonds and no alkyl group, is composed of only carbon and hydrogen and has a refractive index of 1.40 to 1.51, a specific permittivity of not more than 3.0 and a molecular weight of not more than 180. A high-quality film which is safe and has a desired film thickness can be formed from the high order silane composition of the present invention by a liquid-phase process.
    Type: Application
    Filed: January 7, 2010
    Publication date: December 29, 2011
    Applicant: JAPAN SCIENCE AND TECHNOLOGY AGENCY
    Inventors: Tatsuya Shimoda, Yasuo Matsuki, Takashi Masuda
  • Publication number: 20110284995
    Abstract: Micromechanical membranes suitable for formation of mechanical resonating structures are described, as well as methods for making such membranes. The membranes may be formed by forming cavities in a substrate, and in some instances may be oxidized to provide desired mechanical properties. Mechanical resonating structures may be formed from the membrane and oxide structures.
    Type: Application
    Filed: May 20, 2011
    Publication date: November 24, 2011
    Applicant: Sand9, Inc.
    Inventors: Jan H. Kuypers, Andrew Sparks, Klaus Juergen Schoepf, Reimund Rebel
  • Publication number: 20110230060
    Abstract: A method for selective oxidation of silicon containing materials in a semiconductor device is disclosed and claimed. In one aspect, a rapid thermal processing apparatus is used to selectively oxidize a substrate by in-situ steam generation at high pressure in a hydrogen rich atmosphere. Other materials, such as metals and barrier layers, in the substrate are not oxidized.
    Type: Application
    Filed: May 27, 2011
    Publication date: September 22, 2011
    Inventors: Yoshitaka Yokota, Norman Tam, Balasubramanian Ramachandran, Martin John Ripley
  • Patent number: 7989364
    Abstract: A plasma oxidation process is performed to form a silicon oxide film on the surface of a target object by use of plasma with an O(1D2) radical density of 1×1012 [cm?3] or more generated from a process gas containing oxygen inside a process chamber of a plasma processing apparatus. During the plasma oxidation process, the O(1D2) radical density in the plasma is measured by a VUV monochromator 63, and a correction is made to the plasma process conditions.
    Type: Grant
    Filed: August 27, 2007
    Date of Patent: August 2, 2011
    Assignees: National University Corporation Nagoya University, Tokyo Electron Limited
    Inventors: Masaru Hori, Toshihiko Shiozawa, Yoshiro Kabe, Junichi Kitagawa
  • Publication number: 20110169141
    Abstract: A method of creating insulating layers on different semiconductor materials includes providing a substrate having disposed thereon a first material and a second material, the second material having a chemical composition different from the first material; non-epitaxially depositing a continuous sacrificial layer of approximately constant thickness onto the first material and the second material, and then converting the sacrificial layer into a layer consisting essentially of SiO2 without oxidizing more than 10 angstroms into the second material. A structure includes a silicon nitride film disposed conformally on a silicon layer and a silicon germanium layer; a SiO2 layer is disposed on the silicon nitride film.
    Type: Application
    Filed: January 11, 2010
    Publication date: July 14, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Joseph F. Shepard, JR., Siddarth A. Krishnan, Rishikesh Krishnan, Michael P. Chudzik
  • Publication number: 20110151677
    Abstract: Methods of performing a wet oxidation process on a silicon containing dielectric material filling within trenches or vias defined within a substrate are provided. In one embodiment, a method of forming a dielectric material on a substrate includes forming a dielectric material on a substrate by a flowable CVD process, curing the dielectric material disposed on the substrate, performing a wet oxidation process on the dielectric material disposed on the substrate, and forming an oxidized dielectric material on the substrate.
    Type: Application
    Filed: December 21, 2009
    Publication date: June 23, 2011
    Inventors: Linlin Wang, Abhijit Basu Mallick, Nitin K. Ingle
  • Patent number: 7964515
    Abstract: A method is provided for forming high dielectric constant (high-k) films for semiconductor devices. According to one embodiment, a metal-carbon-oxygen high-k film is deposited by alternately and sequentially exposing a substrate to a metal-carbon precursor and near saturation exposure level of an oxidation source containing ozone. The method is capable of forming a metal-carbon-oxygen high-k film with good thickness uniformity while impeding growth of an interface layer between the metal-carbon-oxygen high-k film and the substrate. According to one embodiment, the metal-carbon-oxygen high-k film may be treated with an oxidation process to remove carbon from the film.
    Type: Grant
    Filed: December 21, 2007
    Date of Patent: June 21, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Robert D. Clark, Cory Wajda
  • Patent number: 7939395
    Abstract: Structures and methods for integrating a thick oxide high-voltage metal-oxide-semiconductor (MOS) device into a thin oxide silicon-on-insulator (SOI). A method of forming a semiconductor structure includes forming first source and drain regions of a first device below a buried oxide layer of a silicon-on-insulator (SOI) wafer, forming a gate of the first device in a layer of semiconductor material above the buried oxide layer; and forming second source and drain regions of a second device in the layer of semiconductor material above the buried oxide layer.
    Type: Grant
    Filed: May 14, 2009
    Date of Patent: May 10, 2011
    Assignee: International Business Machines Corporation
    Inventors: Wagdi W. Abadeer, Lillian Kamal, legal representative, Kiran V. Chatty, Robert J. Gauthier, Jr., Jed H. Rankin, Yun Shi, William R. Tonti
  • Patent number: 7923339
    Abstract: The invention relates to the manufacture of an epitaxial layer, with the following steps: providing a semiconductor substrate; providing a Si—Ge layer on the semiconductor substrate, having a first depth; —providing the semiconductor substrate with a doped layer with an n-type dopant material and having a second depth substantially greater than said first depth; performing an oxidation step to form a silicon dioxide layer such that Ge atoms and n-type atoms are pushed into the semiconductor substrate by the silicon dioxide layer at the silicon dioxide/silicon interface, wherein the n-type atoms are pushed deeper into the semiconductor substrate than the Ge atoms, resulting in a top layer with a reduced concentration of n-type atoms; removing the silicon dioxide layer; growing an epitaxial layer of silicon on the semiconductor substrate with a reduced outdiffusion or autodoping.
    Type: Grant
    Filed: November 29, 2005
    Date of Patent: April 12, 2011
    Assignee: NXP B.V.
    Inventors: Philippe Meunier-Beillard, Hendrik G. A. Huizing
  • Publication number: 20110076857
    Abstract: Provided is a method of manufacturing a semiconductor device. The method includes: loading a substrate into a process vessel; performing a process to form an oxide, nitride, or oxynitride film on the substrate by alternately repeating: (a) forming a layer containing a predetermined element on the substrate by supplying and exhausting first and second source gases containing the element into and from the process vessel; and (b) changing the layer containing the element into an oxide, nitride, or oxynitride layer by supplying and exhausting reaction gas different from the first and second source gases into and from the process vessel; and unloading the substrate from the process vessel. The first source gas is more reactive than the second source gas, and an amount of the first source gas supplied into the process vessel is set to be less than that of the second source gas supplied into the process vessel.
    Type: Application
    Filed: September 29, 2010
    Publication date: March 31, 2011
    Applicant: HITACHI-KOKUSAI ELECTRIC INC.
    Inventors: Naonori AKAE, Yoshiro HIROSE, Yushin TAKASAWA, Yosuke OTA, Ryota SASAJIMA
  • Patent number: 7811945
    Abstract: A selective plasma processing method, within a processing chamber of a plasma processing apparatus, acts oxygen-containing plasma on a target object having silicon and a silicon nitride layer to selectively oxidize the silicon with respect to the silicon nitride layer and to form a silicon oxide film. Further, the ratio of a thickness of a silicon oxynitride film formed within the silicon nitride layer to a thickness of the silicon oxide film formed by the oxidization is equal to or smaller than 20%.
    Type: Grant
    Filed: March 21, 2008
    Date of Patent: October 12, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Masaru Sasaki
  • Publication number: 20100233885
    Abstract: A method for processing a substrate including a processing target layer and an organic film, include: a deposition/trimming process of forming a reinforcement film on a surface of the organic film and, at the same time, trimming a line width of a line portion of the organic film constituting an opening pattern. The deposition/trimming process includes an adsorption process for allowing a silicon-containing gas to be adsorbed onto the surface of the organic film and an oxidation process in which the line width of the organic film is trimmed while the adsorbed silicon-containing gas is converted into a silicon oxide film. A monovalent aminosilane is employed as the silicon-containing gas.
    Type: Application
    Filed: March 9, 2010
    Publication date: September 16, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masato KUSHIBIKI, Eiichi Nishimura
  • Patent number: 7795158
    Abstract: In an oxidation method for a semiconductor process, target substrates are placed at intervals in a vertical direction within a process field of a process container. An oxidizing gas and a deoxidizing gas are supplied to the process field from one side of the process field while gas is exhausted from the other side. One or both of the oxidizing gas and the deoxidizing gas are activated. The oxidizing gas and the deoxidizing gas are caused to react with each other, thereby generating oxygen radicals and hydroxyl group radicals within the process field. An oxidation process is performed on the surfaces of the target substrate by use of the oxygen radicals and the hydroxyl group radicals.
    Type: Grant
    Filed: August 28, 2007
    Date of Patent: September 14, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Takehiko Fujita, Jun Ogawa, Shigeru Nakajima, Kazuhide Hasebe
  • Publication number: 20100216317
    Abstract: Methods and apparatus for forming an oxide layer on a semiconductor substrate are disclosed. In one or more embodiments, plasma oxidation is used to form a conformal oxide layer by controlling the temperature of the semiconductor substrate at below about 100° C. Methods for controlling the temperature of the semiconductor substrate according to one or more embodiments include utilizing an electrostatic chuck and a coolant and gas convection.
    Type: Application
    Filed: January 22, 2010
    Publication date: August 26, 2010
    Applicant: Applied Materials, Inc.
    Inventors: Agus S. Tjandra, Christopher S. Olsen, Johanes F. Swenberg, Yoshitaka Yokota
  • Publication number: 20100210118
    Abstract: A thin film can be formed on a substrate at a low temperature with a practicable film-forming rate. There is provided a semiconductor device manufacturing method for forming an oxide or nitride film on a substrate. The method comprises: exposing the substrate to a source gas; exposing the substrate to a modification gas comprising an oxidizing gas or a nitriding gas, wherein an atom has electronegativity different from that of another atom in molecules of the oxidizing gas or the nitriding gas; and exposing the substrate to a catalyst. The catalyst has acid dissociation constant pKa in a range from 5 to 7, but a pyridine is not used as the catalyst.
    Type: Application
    Filed: February 17, 2010
    Publication date: August 19, 2010
    Applicant: HITACHI-KOKUSAI ELECTRIC, INC.
    Inventor: Norikazu Mizuno
  • Patent number: 7736963
    Abstract: In an embodiment, a method of forming a gate structure for a semiconductor device includes forming a preliminary gate structure on a semiconductor substrate. The preliminary gate structure includes a gate oxide pattern and a conductive pattern sequentially stacked on the substrate. Then, a re-oxidation process is performed to the substrate having the preliminary gate structure using an oxygen radical including at least one oxygen atom, so that an oxide layer is formed on a surface of the substrate and sidewalls of the preliminary gate structure to form the gate structure for a semiconductor device. The thickness of the gate oxide pattern is prevented from increasing, and the quality of the oxide layer is improved.
    Type: Grant
    Filed: July 5, 2005
    Date of Patent: June 15, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Woong Lee, Young-Sub You, Hun-Hyeoung Leam, Yong-Woo Hyung, Jai-Dong Lee, Ki-Su Na, Jung-Hwan Kim
  • Publication number: 20100136797
    Abstract: A silicon oxide film is formed in a processing chamber of a plasma processing apparatus by performing oxidation process, by using plasma to a processing object having a patterned irregularity, wherein the plasma is generated while high-frequency power is supplied to a mount table under the conditions that the oxygen content in a process gas is not less than 0.5% and less than 10% and the process pressure is 1.3 to 665 Pa.
    Type: Application
    Filed: March 28, 2008
    Publication date: June 3, 2010
    Inventors: Yoshiro Kabe, Takashi Kobayashi, Toshihiko Shiozawa, Junichi Kitagawa
  • Publication number: 20100117203
    Abstract: A process for forming an oxide-containing film from silicon is provided that includes heating the silicon substrates to a process temperature of between 250° C. and 1100° C. with admission into the process chamber of diatomic reductant source gas Z-Z? where Z and Z? are each H, D and T and a stable source of oxide ion. Multiple exhaust ports exist along the vertical extent of the process chamber to create reactant across flow. A batch of silicon substrates is provided having multiple silicon base layers, each of the silicon base layers having exposed <110> and <100> planes and a film residual stress associated with the film being formed at a temperature of less than 600° C. and having a <110> film thickness that exceeds a <100> film thickness on the <100> crystallographic plane by less than 20%, or a film characterized by thickness anisotropy less than 18% and an electrical breakdown field of greater than 10.5 MV/cm.
    Type: Application
    Filed: January 30, 2007
    Publication date: May 13, 2010
    Applicant: Aviza Technology, Inc.
    Inventors: Robert Jeffrey Bailey, Hood Chatham, Derrick Foster, Olivier Laparra, Martin Mogaard, Cole Porter, Taiquing T. Qiu, Helmuth Treichel
  • Publication number: 20100112824
    Abstract: The invention is a method for forming a silicon oxide film of an SOI wafer, the method by which at least thermal oxidation treatment is performed (a process (A)) on an SOI wafer having an oxide film on the back surface and, after the thermal oxidation treatment, heat treatment is additionally performed (a process (B)) in a non-oxidizing atmosphere at a temperature higher than the temperature at which the thermal oxidation treatment was performed, whereby a silicon oxide film is formed on the front surface of an SOI layer. This provides a method for forming a silicon oxide film of an SOI wafer, the method that can prevent an SOI wafer from being warped after thermal oxidation treatment even when an SOI wafer having a thick oxide film on the back surface is used and a silicon oxide film for forming a device is formed by thermal oxidation on the front surface on the SOI layer side, and can reduce exposure failure and adsorption failure caused by warpage of the SOI wafer and enhance yields of device fabrication.
    Type: Application
    Filed: April 25, 2008
    Publication date: May 6, 2010
    Applicant: SHIN-ETSU HANDOTAI CO., LTD.
    Inventors: Isao Yokokawa, Nobuhiko Noto, Shin-ichi Yamaguchi
  • Publication number: 20100105216
    Abstract: A plasma oxidizing method in which a plasma is produced in a processing chamber of a plasma processing apparatus under a processing condition that the proportion of oxygen in the processing gas is 20% or more and the processing pressure is 400 to 1333 Pa, and silicon exposed from the surface of an object to be processed is oxidized by the plasma to form a silicon oxide film.
    Type: Application
    Filed: September 27, 2007
    Publication date: April 29, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Takashi Kobayashi, Junichi Kitagawa, Yoshiro Kabe, Toshihiko Shiozawa
  • Publication number: 20100093186
    Abstract: The present invention provides a method for forming a silicon oxide film, with a substantially uniform film thickness and without being so influenced by dense sites and scattered sites in a pattern provided on an object to be processed, while keeping advantageous points of a plasma oxidation process performed under a lower-pressure and lower-oxygen-concentration condition. In this method, plasma of a processing gas is applied to a surface of the object having a concavo-convex pattern, in a processing chamber of a plasma processing apparatus, so as to oxidize silicon on the surface of the object, thereby forming the silicon oxide film. The plasma is generated under the condition that a ratio of oxygen in the processing gas is within a range of 0.1% to 10% and pressure is within a range of 0.133 Pa to 133.3 Pa.
    Type: Application
    Filed: September 28, 2007
    Publication date: April 15, 2010
    Applicant: Tokyo Electron Limited
    Inventors: Takashi Kobayashi, Toshihiko Shinozawa, Yoshiro Abe, Junichi Kitagawa
  • Patent number: 7659175
    Abstract: The present invention is directed to a memory device having very high storage density capability. In general, the memory device includes an array of individual memory cells which store information that is assigned a value based on the molecular contents of the memory cell. In a preferred embodiment, the molecules utilized for storing information in the memory cells may be single-strand polynucleotides, for instance single-strand oligonucleotides of between about 5 and about 20 monomer units. The present invention is also directed to methods and systems useful for writing and reading the molecular-based memory devices. In particular, the devices may be written and read via modified atomic force microscopy processes.
    Type: Grant
    Filed: May 5, 2008
    Date of Patent: February 9, 2010
    Assignee: Clemson University
    Inventors: Thomas Boland, Thomas E. Wagner
  • Publication number: 20100029091
    Abstract: A method of forming a tunnel insulating layer in a flash memory device, comprising: forming an oxide layer on a semiconductor substrate, forming a nitrogen-containing layer to a surface of the oxide layer, and forming a nitrogen-accumulating layer on an interface defined between the semiconductor substrate and the oxide layer.
    Type: Application
    Filed: June 30, 2009
    Publication date: February 4, 2010
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Woo Ri Jeong, Seung Woo Shin, Sang Soo Lee, Jae Mun Kim
  • Publication number: 20100029093
    Abstract: A silicon oxide film forming method includes a step of placing an object to be processed and having a surface having a projecting/recessed pattern and containing silicon in a processing vessel of a plasma processing apparatus, a step of producing a plasma from a processing gas containing oxygen at a proportion of 5 to 20% under a processing pressure of 267 to 400 Pa in the processing vessel, and a step of forming a silicon oxide film by oxidizing silicon in the surface of the object to be processed by the plasma.
    Type: Application
    Filed: September 27, 2007
    Publication date: February 4, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Toshihiko Shiozawa, Yoshiro Kabe, Takashi Kobayashi, Junichi Kitagawa, Kazuhiro Isa
  • Publication number: 20090302433
    Abstract: There is provided a method for modifying a high-k dielectric thin film provided on the surface of an object using a metal organic compound material. The method includes a preparation process for providing the object with the high-k dielectric thin film formed on the surface thereof, and a modification process for applying UV rays to the highly dielectric thin film in an inert gas atmosphere while maintaining the object at a predetermined temperature to modify the high-k dielectric thin film. According to the above constitution, the carbon component can be eliminated from the high-k dielectric thin film, and the whole material can be thermally shrunk to improve the density, whereby the occurrence of defects can be prevented and the film density can be improved to enhance the specific permittivity and thus to provide a high level of electric properties.
    Type: Application
    Filed: November 22, 2006
    Publication date: December 10, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kazuyoshi Yamazaki, Shintaro Aoyama, Koji Akiyama
  • Publication number: 20090305488
    Abstract: The invention relates to the manufacture of an epitaxial layer, with the following steps: providing a semiconductor substrate; providing a Si—Ge layer on the semiconductor substrate, having a first depth; —providing the semiconductor substrate with a doped layer with an n-type dopant material and having a second depth substantially greater than said first depth; performing an oxidation step to form a silicon dioxide layer such that Ge atoms and n-type atoms are pushed into the semiconductor substrate by the silicon dioxide layer at the silicon dioxide/silicon interface, wherein the n-type atoms are pushed deeper into the semiconductor substrate than the Ge atoms, resulting in a top layer with a reduced concentration of n-type atoms; removing the silicon dioxide layer; growing an epitaxial layer of silicon on the semiconductor substrate with a reduced outdiffusion or autodoping.
    Type: Application
    Filed: November 29, 2005
    Publication date: December 10, 2009
    Applicant: KONINKLIJKE PHILIPS ELECTRONICS N.V.
    Inventors: Philippe Meunier-Beillard, Hendrik G.A. Huizing
  • Publication number: 20090263919
    Abstract: A plasma oxidation process is performed to form a silicon oxide film on the surface of a target object by use of plasma with an O(1D2) radical density of 1×1012 [cm?3] or more generated from a process gas containing oxygen inside a process chamber of a plasma processing apparatus. During the plasma oxidation process, the O(1D2) radical density in the plasma is measured by a VUV monochromator 63, and a correction is made to the plasma process conditions.
    Type: Application
    Filed: August 27, 2007
    Publication date: October 22, 2009
    Applicants: National University Corporation Nagoya University, Tokyo Electron Limited
    Inventors: Masaru Hori, Toshihiko Shiozawa, Yoshiro Kabe, Junichi Kitagawa
  • Publication number: 20090258505
    Abstract: A manufacturing method for semiconductor devices having MOSFET gate insulation films The method includes forming a silicon oxide film, forming a silicon nitride film, nitriding the silicon nitride film, and first and second heat treatments.
    Type: Application
    Filed: June 23, 2009
    Publication date: October 15, 2009
    Applicant: OKI SEMICONDUCTOR CO., LTD.
    Inventor: Masashi Takahashi
  • Publication number: 20090053903
    Abstract: A plasma processing apparatus 100 of the RLSA type includes a planar antenna with a plurality of slots formed therein, by which microwaves are supplied into a process chamber to generate plasma. In this apparatus, poly-silicon oxidation is performed at a pressure of 67 to 667 Pa inside the chamber, a temperature of 300 to 600° C., and a microwave power of 1,000 to 3,500 W, while a process gas containing Ar gas at a rate of 100 to 2,000 mL/min and O2 gas at a rate of 1 to 500 mL/min is used with O2 gas/Ar gas ratio set to be 0.5 to 5%.
    Type: Application
    Filed: August 30, 2005
    Publication date: February 26, 2009
    Applicant: Tokyo Electron Limited
    Inventors: Takashi Kobayashi, Junichi Kitagawa
  • Patent number: 7452826
    Abstract: An oxidation method is capable of forming oxide films in an improved interfilm thickness uniformity. The oxidation method includes the steps of supplying an oxidizing gas and a reducing gas into a processing vessel 22 capable of being evacuated and holding a plurality of workpieces W arranged at predetermined pitches, and creating a process atmosphere containing active oxygen species and active hydroxyl species in the processing vessel 22 through the interaction of the oxidizing gas-and the reducing gas. At least either of the oxidizing gas and the reducing gas is jetted into an upstream region S1, a middle region S2 and a downstream region S3, with respect to the flowing direction of the gas, of a processing space S containing the workpieces W.
    Type: Grant
    Filed: August 11, 2006
    Date of Patent: November 18, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Kazuhide Hasebe, Kota Umezawa, Yutaka Takahashi
  • Patent number: 7446000
    Abstract: A method of fabricating a semiconductor device including gate dielectrics having different thicknesses may be provided. A method of fabricating a semiconductor device may include providing a substrate having a higher voltage device region and a lower voltage device region, forming an anti-oxidation layer on the substrate, and selectively removing portions of the anti-oxidation layer on the substrate. The method may also include performing a first thermal oxidization on the substrate to form a field oxide layer on the selectively removed portions of the anti-oxidation layer, removing the anti-oxidation layer disposed on the higher voltage device region, performing a second thermal oxidization on the substrate to form a central higher voltage gate oxide layer on the higher voltage device region, removing the anti-oxidation layer disposed on the lower voltage device region, and performing a third thermal oxidization on the substrate to form a lower voltage gate oxide layer on the lower voltage device region.
    Type: Grant
    Filed: July 18, 2007
    Date of Patent: November 4, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sun-hak Lee, Kwang-dong Yoo, Sang-bae Yi, Soo-cheol Lee, Mueng-ryul Lee