Formed By Oxidation (epo) Patents (Class 257/E21.282)
  • Patent number: 8962454
    Abstract: Embodiments of the invention describe a method for forming dielectric films for semiconductor devices. The method includes providing a substrate in a process chamber containing a microwave plasma source, introducing into the process chamber a non-metal-containing process gas including a deposition gas having a carbon-nitrogen intermolecular bond, forming a plasma from the process gas, and exposing the substrate to the plasma to deposit carbon-nitrogen-containing film on the substrate. In some embodiments, the carbon-nitrogen-containing film can include a CN film, a CNO film, a Si-doped CN film, or a Si-doped CNO film.
    Type: Grant
    Filed: March 28, 2011
    Date of Patent: February 24, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Hiroyuki Takaba
  • Patent number: 8901014
    Abstract: Provided is a method of manufacturing a semiconductor device having a structure in which an oxide film and a nitride film are stacked. The method includes forming a stacked film having an oxide film and a nitride film stacked therein on a substrate in a processing container by alternately performing a first cycle and a second cycle a predetermined number of times, the first cycle comprising forming the oxide film by supplying a source gas, a nitriding gas and an oxidizing gas to the substrate in the processing container a predetermined number of times, and the second cycle comprising forming the nitride film by supplying the source gas and the nitriding gas to the substrate in the processing container a predetermined number of times, wherein the forming of the oxide film and the forming of the nitride film are consecutively performed while retaining a temperature of the substrate constant.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: December 2, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Yosuke Ota, Naonori Akae, Yoshiro Hirose, Ryota Sasajima
  • Patent number: 8883654
    Abstract: The present arrangement provides a method of treating an oxidized layer of metal nitride, including oxidizing a layer (2) of metal oxide at the surface of a first layer (1) of nitride of said metal using a plasma of an oxidizing species with an oxidation number that is greater than that of oxygen in order to form a metallic layer (3) of a compound based on said metal; and reducing the metallic layer (3) formed in step i) using a plasma of hydrogen and nitrogen to form a second layer (4) of nitride of said metal.
    Type: Grant
    Filed: February 29, 2012
    Date of Patent: November 11, 2014
    Assignee: Altis Semiconductor
    Inventors: Michel Aube, Pierre De Person
  • Patent number: 8697583
    Abstract: Provided according to embodiments of the present invention are an oxidation-promoting compositions, methods of forming oxide layers, and methods of fabricating semiconductor devices. In some embodiments of the invention, the oxidation-promoting composition includes an oxidation-promoting agent having a structure of A-M-L, wherein L is a functional group that is chemisorbed to a surface of silicon, silicon oxide, silicon nitride, or metal, A is a thermally decomposable oxidizing functional group, and M is a moiety that allows A and L to be covalently bonded to each other.
    Type: Grant
    Filed: September 2, 2011
    Date of Patent: April 15, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyung-seok Oh, Kyung-mun Byun, Shin-hye Kim, Deok-young Jung, Gil-heyun Choi, Eunkee Hong
  • Patent number: 8685832
    Abstract: Provided is a trench filling method, which includes: forming a silicon oxide liner on a semiconductor substrate with trenches formed therein, the trenches including narrow-width portions having a first minimum isolation width and wide-width portions having a second minimum isolation width being wider than the first minimum isolation width; forming an oxidation-barrier film on the silicon oxide liner; forming a silicon liner on the oxidation-barrier film; filling the narrow-width portions with a first filling material; filling the wide-width portions with a second filling material; and oxidizing the silicon liner.
    Type: Grant
    Filed: August 24, 2012
    Date of Patent: April 1, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Masahisa Watanabe
  • Patent number: 8642486
    Abstract: A control unit heats a reaction pipe to a load temperature by controlling a temperature-raising heater 16, and then makes semiconductor wafers received in the reaction pipe. Next, the control unit heats the reaction pipe in which the semiconductor wafers are received to a film formation temperature by controlling the temperature-raising heater, and then forms thin films on the semiconductor wafers by supplying a film forming gas into the reaction pipe from a process gas introducing pipe. Also, the control unit sets the load temperature to a temperature higher than the film formation temperature.
    Type: Grant
    Filed: December 27, 2011
    Date of Patent: February 4, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Toshiyuki Ikeuchi, Pao-Hwa Chou, Kazuya Yamamoto, Kentarou Sera
  • Publication number: 20130264659
    Abstract: Embodiments related to metal oxide protective layers formed on a surface of a halogen-sensitive metal-including layer present on a substrate processed in a semiconductor processing reactor are provided. In one example, a method for forming a metal oxide protective layer is provided. The example method includes forming a metal-including active species on the halogen-sensitive metal-including layer, the metal-including active species being derived from a non-halogenated metal oxide precursor. The example method also includes reacting an oxygen-containing reactant with the metal-including active species to form the metal oxide protective layer.
    Type: Application
    Filed: April 4, 2012
    Publication date: October 10, 2013
    Applicant: ASM IP HOLDINGS B.V.
    Inventor: Sung-Hoon Jung
  • Patent number: 8546272
    Abstract: An insulating film having features such as a low dielectric constant, a low etching rate and a high insulating property is formed.
    Type: Grant
    Filed: April 8, 2011
    Date of Patent: October 1, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Yoshiro Hirose, Yushin Takasawa, Tsukasa Kamakura, Yoshinobu Nakamura, Ryota Sasajima
  • Patent number: 8546271
    Abstract: A method for selective oxidation of silicon containing materials in a semiconductor device is disclosed and claimed. In one aspect, a rapid thermal processing apparatus is used to selectively oxidize a substrate by in-situ steam generation at high pressure in a hydrogen rich atmosphere. Other materials, such as metals and barrier layers, in the substrate are not oxidized.
    Type: Grant
    Filed: May 27, 2011
    Date of Patent: October 1, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Yoshitaka Yokota, Norman Tam, Balasubramanian Ramachandran, Martin John Ripley
  • Patent number: 8501636
    Abstract: A method for fabricating silicon dioxide layer is disclosed. The method includes the following steps. Firstly, a semiconductor substrate is provided. Next, the semiconductor substrate is cleaned with a solution containing hydrogen peroxide to form a chemical oxide layer on the semiconductor substrate. Then, the chemical oxide layer is heated in no oxygen atmosphere, such that the chemical oxide layer forms a compact layer. Then, the semiconductor substrate is heated in oxygen atmosphere to form a silicon dioxide layer between the semiconductor substrate and the compact layer.
    Type: Grant
    Filed: July 24, 2012
    Date of Patent: August 6, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Shao-Wei Wang, Yu-Ren Wang, Chien-Liang Lin, Ying-Wei Yen, Kun-Yuan Lo, Chih-Wei Yang
  • Patent number: 8435906
    Abstract: Methods and apparatus for forming an oxide layer on a semiconductor substrate are disclosed. In one or more embodiments, plasma oxidation is used to form a conformal oxide layer by controlling the temperature of the semiconductor substrate at below about 100° C. Methods for controlling the temperature of the semiconductor substrate according to one or more embodiments include utilizing an electrostatic chuck and a coolant and gas convection.
    Type: Grant
    Filed: January 22, 2010
    Date of Patent: May 7, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Agus S. Tjandra, Christopher S. Olsen, Johanes F. Swenberg, Yoshitaka Yokota
  • Patent number: 8404602
    Abstract: A plasma oxidation method includes the steps of: generating oxygen-containing plasma with a process gas containing oxygen; applying a bias voltage to a substrate placed on a stage; and radiating positive ions and negative ions in the oxygen-containing plasma onto the substrate so as to perform plasma oxidation of the substrate while controlling a bias potential of the substrate in such a manner that a maximum value Vmax and a minimum value Vmin of the bias potential and a plasma potential Vp satisfy a following relationship: Vmin<Vp<Vmax.
    Type: Grant
    Filed: April 12, 2011
    Date of Patent: March 26, 2013
    Assignees: FUJIFILM Corporation, Tokai University Educational System
    Inventors: Shuji Takahashi, Haruo Shindo
  • Patent number: 8404601
    Abstract: A method of manufacturing a semiconductor device according to the present invention includes the steps of: (a) introducing hydrogen and oxygen on a SiC substrate; and (b) subjecting the hydrogen and the oxygen to a combustion reaction on the SiC substrate to form a gate oxide film being a silicon oxide film on a surface of the SiC substrate by the combustion reaction.
    Type: Grant
    Filed: June 12, 2012
    Date of Patent: March 26, 2013
    Assignee: Mitsubishi Electric Corporation
    Inventor: Kazuo Kobayashi
  • Publication number: 20130072027
    Abstract: Provided is a method of manufacturing a semiconductor device having a structure in which an oxide film and a nitride film are stacked. The method includes forming a stacked film having an oxide film and a nitride film stacked therein on a substrate in a processing container by alternately performing a first cycle and a second cycle a predetermined number of times, the first cycle comprising forming the oxide film by supplying a source gas, a nitriding gas and an oxidizing gas to the substrate in the processing container a predetermined number of times, and the second cycle comprising forming the nitride film by supplying the source gas and the nitriding gas to the substrate in the processing container a predetermined number of times, wherein the forming of the oxide film and the forming of the nitride film are consecutively performed while retaining a temperature of the substrate constant.
    Type: Application
    Filed: September 14, 2012
    Publication date: March 21, 2013
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Yosuke OTA, Naonori AKAE, Yoshiro HIROSE, Ryota SASAJIMA
  • Patent number: 8357619
    Abstract: A silicon-containing insulating film is formed on a target substrate by CVD, in a process field to be selectively supplied with a first process gas including di-iso-propylaminosilane gas and a second process gas including an oxidizing gas or nitriding gas. The film is formed by performing a plurality of times a cycle alternately including first and second steps. The first step performs supply of the first process gas, thereby forming an adsorption layer containing silicon on a surface of the target substrate. The second performs supply of the second process gas, thereby oxidizing or nitriding the adsorption layer on the surface of the target substrate. The second step includes an excitation period of supplying the second process gas to the process field while exciting the second process gas by an exciting mechanism.
    Type: Grant
    Filed: March 4, 2011
    Date of Patent: January 22, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Kazuhide Hasebe, Shigeru Nakajima, Jun Ogawa
  • Patent number: 8329597
    Abstract: A semiconductor process having a dielectric layer including metal oxide is provided. The semiconductor process includes: A substrate is provided. A dielectric layer including metal oxide is formed on the substrate, wherein the dielectric layer has a plurality of oxygen-related vacancies. A first oxygen-importing process is performed to fill the oxygen-related vacancies with oxygen. Otherwise, three MOS transistor processes are also provided, each of which has a gate dielectric layer including a high dielectric constant, and a first oxygen-importing process is performed to fill the oxygen-related vacancies with oxygen.
    Type: Grant
    Filed: March 7, 2011
    Date of Patent: December 11, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Chan-Lon Yang, Shih-Fang Tzou, Chen-Kuo Chiang
  • Publication number: 20120270412
    Abstract: An oxidizing method and oxidizing apparatus in which a plasma generating chamber having an oxidizing gas supply port and a substrate processing chamber having an exhaust port and internally having a substrate susceptor are connected via a partition having a number of through holes, a plasma of an oxidizing gas supplied into the plasma generating chamber is generated, and an oxide layer is formed on a substrate surface by supplying the generated active species onto a substrate are characterized in that the partition is connected to a power supply via a switching mechanism such that a positive, negative, or zero voltage is applied to the partition, and an oxidation process is performed by changing the ratio of radicals, positive ions, and negative ions in the active species supplied onto the substrate by switching the voltages at least once during the oxidation process.
    Type: Application
    Filed: June 22, 2012
    Publication date: October 25, 2012
    Applicant: CANON ANELVA CORPORATION
    Inventors: Yoshinori NAGAMINE, Naoki Watanabe
  • Publication number: 20120270411
    Abstract: A manufacturing method of a gate dielectric layer is provided. An oxidation treatment is performed to form an oxide layer on a substrate. A nitridation treatment is performed to form a nitride layer on the oxide layer. An annealing treatment is performed in a mixing gas of N2 and O2, where the temperature of the annealing treatment is 900° C. to 950° C., the pressure of the annealing treatment is 5 Torr to 10 Torr, and the content ratio of the N2 to O2 is 0.5 to 0.8.
    Type: Application
    Filed: April 25, 2011
    Publication date: October 25, 2012
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: Kuo-Hui Su, Yi-Nan Chen, Hsien-Wen Liu
  • Publication number: 20120238108
    Abstract: A method of forming a silicon oxide layer is described. The method increases the oxygen content of a dielectric layer by curing the layer in a two-step ozone cure. The first step involves exposing the dielectric layer to ozone while the second step involves exposing the dielectric layer to ozone excited by a local plasma. This sequence can reduce or eliminate the need for a subsequent anneal following the cure step. The two-step ozone cures may be applied to silicon-and-nitrogen-containing film to convert the films to silicon oxide.
    Type: Application
    Filed: September 7, 2011
    Publication date: September 20, 2012
    Applicant: Applied Materials, Inc.
    Inventors: Xiaolin Chen, Jingmei Liang, Nitin K. Ingle, Shankar Venkataraman
  • Patent number: 8263501
    Abstract: A silicon dioxide film fabricating process includes the following steps. Firstly, a substrate is provided. A rapid thermal oxidation-in situ steam generation process is performed to form a silicon dioxide film on the substrate. An annealing process is performed to anneal the substrate in a first gas mixture at a temperature in the range of 1000° C. to 1100° C.
    Type: Grant
    Filed: December 15, 2010
    Date of Patent: September 11, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Chien-Liang Lin, Yu-Ren Wang, Ying-Wei Yen
  • Patent number: 8252701
    Abstract: Provided is a method of manufacturing a semiconductor device.
    Type: Grant
    Filed: November 18, 2010
    Date of Patent: August 28, 2012
    Assignee: Hitachi-Kokusai Electric Inc.
    Inventors: Ryota Sasajima, Yoshiro Hirose, Yosuke Ota, Naonori Akae, Kojiro Yokozawa
  • Patent number: 8236707
    Abstract: A method of manufacturing a semiconductor device according to the present invention includes the steps of: (a) introducing hydrogen and oxygen on a SiC substrate; and (b) subjecting the hydrogen and the oxygen to a combustion reaction on the SiC substrate to form a gate oxide film being a silicon oxide film on a surface of the SiC substrate by the combustion reaction.
    Type: Grant
    Filed: September 15, 2010
    Date of Patent: August 7, 2012
    Assignee: Mitsubishi Electric Corporation
    Inventor: Kazuo Kobayashi
  • Patent number: 8236595
    Abstract: A method of fabricating a sensor comprising a nanowire on a support substrate with a first semiconductor layer arranged on the support substrate is disclosed. The method comprises forming a fin structure from the first semiconductor layer, the fin structure comprising at least two supporting portions and a fin portion arranged there between; oxidizing at least the fin portion of the fin structure thereby forming the nanowire being surrounded by a first layer of oxide; and forming an insulating layer above the supporting portions; wherein the supporting portions and the first insulating layer form a microfluidic channel. A nanowire sensor is also disclosed.
    Type: Grant
    Filed: August 11, 2006
    Date of Patent: August 7, 2012
    Assignee: Agency for Science, Technology and Research
    Inventors: Ajay Agarwal, Navab Singh, Rakesh Kumar, Ieng Kin Lao, Narayanan Balasubramanian
  • Patent number: 8222163
    Abstract: A recess is usually formed on the sidewall of the trench due to the dry etch. The recess may influence the profile of an element formed in the trench. Therefore, a method of flattening a recess in a substrate is provided. The method includes: first, providing a substrate having a trench therein, wherein the trench has a sidewall comprising a recessed section and an unrecessed section. Then, a recessed section oxidation rate change step is performed to change an oxidation rate of the recessed section. Later, an oxidizing process is performed to the substrate so as to form a first oxide layer on the recessed section, and a second oxide layer on the unrecessed section, wherein the second oxide layer is thicker than the first oxide layer. Finally, the first oxide layer and the second oxide layer are removed to form a flattened sidewall of the trench.
    Type: Grant
    Filed: August 6, 2010
    Date of Patent: July 17, 2012
    Assignee: Nanya Technology Corp.
    Inventors: Chao-Wen Lay, Ching-Kai Lin
  • Publication number: 20120100726
    Abstract: A method of forming silicon oxide includes depositing a silicon nitride-comprising material over a substrate. The silicon nitride-comprising material has an elevationally outermost silicon nitride-comprising surface. Such surface is treated with a fluid that is at least 99.5% H2O by volume. A polysilazane-comprising spin-on dielectric material is formed onto the H2O-treated silicon nitride-comprising surface. The polysilazane-comprising spin-on dielectric material is oxidized to form silicon oxide. Other implementations are contemplated.
    Type: Application
    Filed: December 28, 2011
    Publication date: April 26, 2012
    Inventors: Yunjun Ho, Brent Gilgen
  • Publication number: 20120094505
    Abstract: A selective oxidation treatment method in which plasma of a hydrogen gas and an oxygen containing gas is allowed to act on an object to be treated, and in which silicon and a metallic material are exposed in the surface, within a treatment container of a plasma treatment apparatus comprises: after the supply of the hydrogen gas from a hydrogen gas supply source is initiated by using a first inert gas, which passes through a first supply path, as a carrier gas, initiating the supply of the oxygen containing gas from an oxygen containing gas supply source by using a second inert gas, which passes through a second supply path, as a carrier gas before the plasma is ignited; igniting the plasma of a treatment gas including the oxygen containing gas and the hydrogen gas within the treatment container; and selectively oxidizing the silicon by the plasma.
    Type: Application
    Filed: July 26, 2010
    Publication date: April 19, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hideo Nakamura, Yoshiro Kabe, Kazuhiro Isa, Junichi Kitagawa
  • Publication number: 20120058647
    Abstract: Provided according to embodiments of the present invention are an oxidation-promoting compositions, methods of forming oxide layers, and methods of fabricating semiconductor devices. In some embodiments of the invention, the oxidation-promoting composition includes an oxidation-promoting agent having a structure of A-M-L, wherein L is a functional group that is chemisorbed to a surface of silicon, silicon oxide, silicon nitride, or metal, A is a thermally decomposable oxidizing functional group, and M is a moiety that allows A and L to be covalently bonded to each other.
    Type: Application
    Filed: September 2, 2011
    Publication date: March 8, 2012
    Inventors: Kyung-seok Oh, Kyung-mun Byun, Shin-hye Kim, Deok-young Jung, Gil-heyun Choi, Eunkee Hong
  • Publication number: 20120034791
    Abstract: A recess is usually formed on the sidewall of the trench due to the dry etch. The recess may influence the profile of an element formed in the trench. Therefore, a method of flattening a recess in a substrate is provided. The method includes: first, providing a substrate having a trench therein, wherein the trench has a sidewall comprising a recessed section and an unrecessed section. Then, a recessed section oxidation rate change step is performed to change an oxidation rate of the recessed section. Later, an oxidizing process is performed to the substrate so as to form a first oxide layer on the recessed section, and a second oxide layer on the unrecessed section, wherein the second oxide layer is thicker than the first oxide layer. Finally, the first oxide layer and the second oxide layer are removed to form a flattened sidewall of the trench.
    Type: Application
    Filed: August 6, 2010
    Publication date: February 9, 2012
    Inventors: Chao-Wen Lay, Ching-Kai Lin
  • Patent number: 8105956
    Abstract: A method of forming silicon oxide includes depositing a silicon nitride-comprising material over a substrate. The silicon nitride-comprising material has an elevationally outermost silicon nitride-comprising surface. Such surface is treated with a fluid that is at least 99.5% H2O by volume. A polysilazane-comprising spin-on dielectric material is formed onto the H2O-treated silicon nitride-comprising surface. The polysilazane-comprising spin-on dielectric material is oxidized to form silicon oxide. Other implementations are contemplated.
    Type: Grant
    Filed: October 20, 2009
    Date of Patent: January 31, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Yunjun Ho, Brent Gilgen
  • Publication number: 20120021613
    Abstract: The invention relates to a finishing method for a silicon-on-insulator (SOI) substrate that includes an oxide layer buried between an active silicon layer and a support layer of silicon. The method includes applying the following steps in succession: a first rapid thermal annealing (RTA) of the SOI substrate; a sacrificial oxidation of the active silicon layer of the substrate conducted to remove a first oxide thickness; a second RTA of the substrate; and a second sacrificial oxidation of the active silicon layer conducted to remove a second oxide thickness that is thinner than the first oxide thickness.
    Type: Application
    Filed: March 17, 2010
    Publication date: January 26, 2012
    Inventors: Walter Schwarzenbach, Sébastien Kerdiles, Patrick Reynaud, Ludovic Ecarnot, Eric Neyret
  • Patent number: 8084369
    Abstract: Disclosed is a producing method of a semiconductor device produced by transferring a plurality of substrates into a processing chamber, supplying oxygen-containing gas and hydrogen-containing gas into the processing chamber to process the plurality of substrates by oxidation, and transferring the plurality of the oxidation-processed substrates out from the processing chamber, wherein in the oxidation-processing, the hydrogen-containing gas is supplied from a plurality of locations of a region which is in proximity to the inner wall of the processing chamber and which corresponds to a substrate arrangement region in which the plurality of substrates are arranged in the processing chamber.
    Type: Grant
    Filed: March 13, 2009
    Date of Patent: December 27, 2011
    Assignee: Hitachi Kokusai Electric, Inc.
    Inventors: Takashi Ozaki, Kazuhiro Yuasa, Kiyohiko Maeda
  • Publication number: 20110281443
    Abstract: The film formation method includes transferring an object to be processed into a process chamber; controlling a temperature of the object to be processed to be equal to or lower than 350° C.; and supplying an aminosilane gas as a Si source gas and an oxidizing gas into the process chamber, wherein the oxidizing gas consists of a first oxidizing gas comprising at least one selected from the group consisting of an O2 gas and an O3 gas, and a second oxidizing gas comprising at least one selected from the group consisting of a H2O gas and a H2O2 gas, thereby forming a silicon oxide film on a surface of the object to be processed.
    Type: Application
    Filed: May 12, 2011
    Publication date: November 17, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Pao-Hwa CHOU, Kota UMEZAWA, Yosuke WATANABE, Masayuki HASEGAWA
  • Patent number: 8043981
    Abstract: Methods and apparatus for forming an oxide layer on a semiconductor substrate are disclosed. A two frequency plasma source is used to form a plasma in a plasma reactor. In various embodiments, different quantities of power are supplied to a power source operating at the first frequency and a power source operating at the second frequency over time.
    Type: Grant
    Filed: April 19, 2010
    Date of Patent: October 25, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Kai Ma, Yoshitaka Yokota, Christopher S. Olsen
  • Publication number: 20110256733
    Abstract: An insulating film having features such as a low dielectric constant, a low etching rate and a high insulating property is formed.
    Type: Application
    Filed: April 8, 2011
    Publication date: October 20, 2011
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Yoshiro HIROSE, Yushin TAKASAWA, Tsukasa KAMAKURA, Yoshinobu NAKAMURA, Ryota SASAJIMA
  • Patent number: 8011319
    Abstract: A holding device is presented in which a layer which is to be oxidized is processed, in a single-substrate process. The process temperature during the processing is recorded directly at the substrate or at a holding device for the substrate. The process includes introducing a substrate, which bears a layer to be oxidized uncovered in an edge region in a layer stack, into a heating device, passing an oxidation gas onto the substrate, heating the substrate to a process temperature, which is recorded during the processing via a temperature of the holding device which holds the substrate, and controlling the substrate temperature to a desired temperature or temperature curve during the processing.
    Type: Grant
    Filed: October 5, 2009
    Date of Patent: September 6, 2011
    Assignee: Infineon Technologies AG
    Inventors: Hin-Yiu Chung, Thomas Gutt
  • Publication number: 20110207335
    Abstract: Techniques for preventing bending/buckling of suspended micro/nanostructures during oxidation are provided. In one aspect, a method for oxidizing a structure is provided. The method includes providing the structure having at least one suspended element selected from the group consisting of: a microstructure, a nanostructure and a combination thereof; surrounding the at least one suspended element in a cladding material; and oxidizing the at least one suspended element through the cladding material, wherein the cladding material physically constrains and thereby prevents distortion of the at least one suspended element during the oxidation.
    Type: Application
    Filed: February 22, 2010
    Publication date: August 25, 2011
    Applicant: International Business Machines Corporation
    Inventor: Tymon Barwicz
  • Publication number: 20110207302
    Abstract: Embodiments described herein relate to improving the quality of a substrate and the performance of a semiconductor device, which is caused by contaminates or particles being engrained into a substrate with a silicon film formed thereon, and forming a silicon film with a small surface roughness. Provided is a semiconductor device manufacturing method that includes forming a silicon film on a substrate, supplying an oxidation seed onto the substrate, performing heat treatment on the silicon film, modifying the surface layer of the silicon film into an oxidized silicon film, and removing the oxidized silicon film.
    Type: Application
    Filed: February 23, 2011
    Publication date: August 25, 2011
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Jie WANG, Osamu KASAHARA, Kazuhiro YUASA, Keigo NISHIDA
  • Publication number: 20110207336
    Abstract: A method of manufacturing a semiconductor device according to the present invention includes the steps of: (a) introducing hydrogen and oxygen on a SiC substrate; and (b) subjecting the hydrogen and the oxygen to a combustion reaction on the SiC substrate to form a gate oxide film being a silicon oxide film on a surface of the SiC substrate by the combustion reaction.
    Type: Application
    Filed: September 15, 2010
    Publication date: August 25, 2011
    Applicant: MITSUBISHI ELECTRIC CORPORATION
    Inventor: Kazuo KOBAYASHI
  • Publication number: 20110201210
    Abstract: A film formation method includes a film formation process for forming an SiO2 film on a surface of a target object inside a process container by use of an Si source gas and an oxidizing agent, and an oxidation purge process for performing oxidation on films deposited inside the process container while exhausting gas from inside the process container after unloading the target object from the process container, wherein the film formation process and the oxidation purge process are alternately repeated a plurality of times without, interposed therebetween, a process for removing the films deposited inside the process container.
    Type: Application
    Filed: February 10, 2011
    Publication date: August 18, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Jun Sato, Eiji Kikama, Masataka Toiya, Tetsuya Shibata
  • Patent number: 7989363
    Abstract: A method for fabricating semiconductor devices, e.g., SONOS cell. The method includes providing a semiconductor substrate (e.g., silicon wafer, silicon on insulator) having a surface region, which has a native oxide layer. The method includes treating the surface region to a wet cleaning process to remove a native oxide layer from the surface region. In a specific embodiment, the method includes subjecting the surface region to an oxygen bearing environment and subjecting the surface region to a high energy electromagnetic radiation having wavelengths ranging from about 300 to about 800 nanometers for a time period of less than 10 milli-seconds to increase a temperature of the surface region to greater than 1000 Degrees Celsius. In a specific embodiment, the method causes formation of an oxide layer having a thickness of less than 10 Angstroms. In a preferred embodiment, the oxide layer is substantially free from pinholes and other imperfections.
    Type: Grant
    Filed: October 27, 2008
    Date of Patent: August 2, 2011
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: David Gao, Mieno Fumitake
  • Patent number: 7989364
    Abstract: A plasma oxidation process is performed to form a silicon oxide film on the surface of a target object by use of plasma with an O(1D2) radical density of 1×1012 [cm?3] or more generated from a process gas containing oxygen inside a process chamber of a plasma processing apparatus. During the plasma oxidation process, the O(1D2) radical density in the plasma is measured by a VUV monochromator 63, and a correction is made to the plasma process conditions.
    Type: Grant
    Filed: August 27, 2007
    Date of Patent: August 2, 2011
    Assignees: National University Corporation Nagoya University, Tokyo Electron Limited
    Inventors: Masaru Hori, Toshihiko Shiozawa, Yoshiro Kabe, Junichi Kitagawa
  • Publication number: 20110180789
    Abstract: Thin-film transistors are made using an organosilicate glass (OSG) as an insulator material. The organosilicate glasses may be SiO2-silicone hybrid materials deposited by plasma-enhanced chemical vapor deposition from siloxanes and oxygen. These hybrid materials may be employed as the gate dielectric, as a subbing layer, and/or as a back channel passivating layer. The transistors may be made in any conventional TFT geometry.
    Type: Application
    Filed: July 30, 2009
    Publication date: July 28, 2011
    Inventors: Lin Han, Prashant Mandlik, Sigurd Wagner
  • Publication number: 20110169016
    Abstract: A MOSFET includes: a silicon carbide (SiC) substrate having a main surface having an off angle of not less than 50° and not more than 65° relative to a {0001} plane; a semiconductor layer formed on the main surface of the SiC substrate; and an insulating film formed in contact with a surface of the semiconductor layer. The MOSFET has a sub-threshold slope of not more than 0.4 V/Decade.
    Type: Application
    Filed: March 23, 2010
    Publication date: July 14, 2011
    Applicant: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventors: Keiji Wada, Shin Harada, Takeyoshi Masuda, Misako Honaga
  • Patent number: 7977254
    Abstract: A method of forming a gate insulator in the manufacture of a semiconductor device comprises conducting a photo-assisted electrochemical process to form a gate-insulating layer on a gallium nitride layer of the semiconductor device, wherein the gate-insulating layer includes gallium oxynitride and gallium oxide, and performing a rapid thermal annealing process. The photo-assisted electrochemical process uses an electrolyte bath including buffered CH3COOH at a pH between about 5.5 and 7.5. The rapid thermal annealing process is conducted in O2 environment at a temperature between about 500° C. and 800° C.
    Type: Grant
    Filed: June 27, 2007
    Date of Patent: July 12, 2011
    Assignee: Tekcore Co., Ltd.
    Inventors: Lung-Han Peng, Han-Ming Wu, Jing-Yi Lin
  • Publication number: 20110156222
    Abstract: Silicon wafers, are manufactured with which a desired strength and electric resistance of a semiconductor device can be obtained. A non-oxidizing heat treatment for oxygen out-diffusion is performed wherein the desired amount of oxygen is discharged from the surface layer of the silicon substrate. By this heat treatment for oxygen out-diffusion, a surface layer having a low oxygen content is formed on the silicon substrate, the heat treatment of the silicon substrate being performed through an oxide film.
    Type: Application
    Filed: November 30, 2010
    Publication date: June 30, 2011
    Applicant: Siltronic AG
    Inventor: Tatsuhiko Matake
  • Publication number: 20110130011
    Abstract: Provided is a method of manufacturing a semiconductor device.
    Type: Application
    Filed: November 18, 2010
    Publication date: June 2, 2011
    Applicant: HITACHI-KOKUSAI ELECTRIC INC.
    Inventors: Ryota SASAJIMA, Yoshiro HIROSE, Yosuke OTA, Naonori AKAE, Kojiro YOKOZAWA
  • Patent number: 7951728
    Abstract: A method for selective oxidation of silicon containing materials in a semiconductor device is disclosed and claimed. In one aspect, a rapid thermal processing apparatus is used to selectively oxidize a substrate by in-situ steam generation at high pressure in a hydrogen rich atmosphere. Other materials, such as metals and barrier layers, in the substrate are not oxidized.
    Type: Grant
    Filed: September 24, 2007
    Date of Patent: May 31, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Yoshitaka Yokota, Norman Tam, Balasubramanian Ramachandran, Martin John Ripley
  • Patent number: 7910495
    Abstract: A plasma oxidizing method includes a step of placing an object to be processed and having a surface containing silicon on a susceptor disposed in a processing vessel of a plasma processing apparatus, a step of producing a plasma from a processing gas containing oxygen in the processing vessel, a step of supplying high-frequency electric power to the susceptor and applying a high-frequency bias to the object to be processed when the plasma is produced, and a step of forming a silicon oxide film by oxidizing silicon in the surface of the object to be processed by the plasma.
    Type: Grant
    Filed: September 27, 2007
    Date of Patent: March 22, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Toshihiko Shiozawa, Yoshiro Kabe, Takashi Kobayashi, Hikaru Adachi, Junichi Kitagawa, Nobuhiko Yamamoto
  • Patent number: 7838438
    Abstract: A dielectric layer, an MIM capacitor, a method of manufacturing the dielectric layer and a method of manufacturing the MIM capacitor. The method of manufacturing the dielectric layer includes chemically reacting a metal source with different amounts of an oxidizing agent based on the cycle of the chemical reactions in order to control leakage characteristics of the dielectric layer, the electrical characteristics of the dielectric layer, and the dielectric characteristics of the dielectric layer.
    Type: Grant
    Filed: February 28, 2006
    Date of Patent: November 23, 2010
    Assignee: Samsung Electronics Co., Ltd
    Inventors: Ki Vin Im, Jae Hyun Yeo, Kyoung Ryul Yoon, Jong Cheol Lee, Eun Ae Chung, Young Sun Kim
  • Publication number: 20100151694
    Abstract: Plasma assisted low temperature radical oxidation is described. The oxidation is selective to metals or metal oxides that may be present in addition to the silicon being oxidized. Selectivity is achieved by proper selection of process parameters, mainly the ratio of H2 to O2 gas. The process window may be enlarged by injecting H2O steam into the plasma, thereby enabling oxidation of silicon in the presence of TiN and W, at relatively low temperatures. Selective oxidation is improved by the use of an apparatus having remote plasma and flowing radicals onto the substrate, but blocking ions from reaching the substrate.
    Type: Application
    Filed: December 12, 2008
    Publication date: June 17, 2010
    Applicant: MATTSON TECHNOLOGY, INC.
    Inventors: Bruce W. Peuse, Yaozhi Hu, Paul Janis Timans, Guangcai Xing, Wilfried Lerch, Sing-Pin Tay, Stephen E. Savas, Georg Roters, Zsolt Nenyei, Ashok Sinha