Using Plasma (epo) Patents (Class 257/E21.311)
  • Patent number: 8048753
    Abstract: Shallow trench isolation silicon-on-insulator (SOI) devices are formed with improved charge protection. Embodiments include an SOI film diode and a P+ substrate junction as a charging protection device. Embodiments also include a conductive path from the SOI transistor drain, through a conductive contact, a metal line, a second conductive contact, an SOI diode, isolated from the transistor, a third conductive contact, a second conductive line, and a fourth conductive contact to a P+-doped substrate contact in the bulk silicon layer of the SOI substrate.
    Type: Grant
    Filed: June 12, 2009
    Date of Patent: November 1, 2011
    Assignee: Globalfoundries Inc.
    Inventors: Jingrong Zhou, David Wu, James F. Buller
  • Patent number: 8035131
    Abstract: A method for forming a nitride semiconductor laminated structure includes forming a first layer that is an n-type or i-type first layer composed of a group III nitride semiconductor using an H2 carrier gas; forming a second layer by laminating a p-type second layer composed of a group III nitride semiconductor and containing Mg on the first layer using an H2 carrier gas; and forming a third layer that is an n-type or i-type third layer composed of a group III nitride semiconductor on the second layer using an H2 carrier gas after forming the second layer. A method for manufacturing a nitride semiconductor device includes the method steps for forming the nitride semiconductor laminated structure.
    Type: Grant
    Filed: March 7, 2008
    Date of Patent: October 11, 2011
    Assignee: Rohm Co., Ltd.
    Inventors: Hirotaka Otake, Hiroaki Ohta, Shin Egami
  • Patent number: 8021982
    Abstract: A method is provided for forming a metal semiconductor alloy that includes providing a deposition apparatus that includes a platinum source and a nickel source, wherein the platinum source is separate from the nickel source; positioning a substrate having a semiconductor surface in the deposition apparatus; forming a metal alloy on the semiconductor surface, wherein forming the metal alloy comprises a deposition stage in which the platinum source deposits platinum to the semiconductor surface at an initial rate at an initial period that is greater than a final rate at a final period of the deposition stage, and the nickel source deposits nickel to the semiconductor surface; and annealing the metal alloy to react the nickel and platinum with the semiconductor substrate to provide a nickel platinum semiconductor alloy.
    Type: Grant
    Filed: September 21, 2009
    Date of Patent: September 20, 2011
    Assignees: International Business Machines Corporation, Samsung Electronics Co., Ltd., Infineon Technologies AG
    Inventors: Oh-Jung Kwon, Anthony G. Domenicucci, O Sung Kwon, Jin-Woo Choi
  • Patent number: 8012850
    Abstract: According to a method of manufacturing a semiconductor device, a short-circuit wiring is formed in a region on a wafer including a dicing region, and electrode pads for input and output signals of a plurality of devices disposed in a semiconductor device forming region are electrically short-circuited by the short-circuit wiring, so that occurrence of plasma damage is suppressed even if the wafer is subjected to various plasma processes. When the wafer subjected to the plasma processes is cut along the dicing region to separate a semiconductor device, the electrical short-circuit of the electrode pads by the short-circuit wiring is released, so that the functionally unwanted short-circuit of the devices or the like is appropriately released.
    Type: Grant
    Filed: February 29, 2008
    Date of Patent: September 6, 2011
    Assignee: Olympus Corporation
    Inventors: Kazuaki Kojima, Takatoshi Igarashi, Kazuya Matsumoto
  • Patent number: 8008198
    Abstract: A method for fabricating a copper indium diselenide semiconductor film is provided using substrates having a copper and indium composite structure. The substrates are placed vertically in a furnace and a gas including a selenide species and a carrier gas are introduced. The temperature is increased from about 350° C. to about 450° C. to initiate formation of a copper indium diselenide film from the copper and indium composite on the substrates.
    Type: Grant
    Filed: September 28, 2009
    Date of Patent: August 30, 2011
    Assignee: Stion Corporation
    Inventor: Robert D. Wieting
  • Patent number: 8003551
    Abstract: The present invention provides means and methods for producing surface-activated semiconductor nanoparticles suitable for in vitro and in vivo applications that can fluoresce in response to light excitation. Semiconductor nanostructures can be produced by generating a porous layer in semiconductor substrate comprising a network of nanostructures. Prior or subsequent to cleavage from the substrate, the nanostructures can be activated by an activation means such as exposing their surfaces to a plasma, oxidation or ion implantation. In some embodiments, the surface activation renders the nanostructures more hydrophilic, thereby facilitating functionalization of the nanoparticles for either in vitro or in vivo use.
    Type: Grant
    Filed: April 27, 2009
    Date of Patent: August 23, 2011
    Assignee: Spire Corporation
    Inventors: Nader Montazernezam Kalkhoran, James G. Moe, Kurt J. Linden, Marisa Sambito
  • Publication number: 20110201185
    Abstract: Methods to dope transistors with equal or similar dopant concentration are described. In a first alternative, a slow dose per pulse ramp during plasma-assisted doping is proposed. This method results in a thinner surface deposited layer resulting in equal dopant concentration throughout the area. In a second alternative, transistors are placed away from the mask edge in order to achieve equal dopant concentration.
    Type: Application
    Filed: February 17, 2010
    Publication date: August 18, 2011
    Inventors: Devesh Kumar Datta, Keen Wah Chow, Chun Kit Kwok, Wai Khin Joshua Lee
  • Patent number: 7994541
    Abstract: Embodiments relate to a method for forming a wiring in a semiconductor device, that may include laminating a conductive layer for wiring formation on a semiconductor substrate, forming a photoresist layer pattern on the conductive layer, performing primary dry etching for the conductive layer after employing the photoresist layer pattern as a mask, thereby forming a wiring pattern, partially removing the photoresist layer pattern through secondary dry etching, thereby forming a passivation layer on a surface of the wiring pattern, performing tertiary dry etching for the wiring pattern and a diffusion barrier after employing the photoresist layer pattern as a mask, thereby forming a metal wiring, and removing the photoresist layer pattern.
    Type: Grant
    Filed: July 14, 2009
    Date of Patent: August 9, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Jong Soon Lee
  • Patent number: 7989354
    Abstract: Disclosed is a patterning method including: forming a first film on a substrate; forming a first resist film on the first film; processing the first resist film into a first resist pattern having a preset pitch by photolithography; forming a silicon oxide film on the first resist pattern and the first film by alternately supplying a first gas containing organic silicon and a second gas containing an activated oxygen species to the substrate; forming a second resist film on the silicon oxide film; processing the second resist film into a second resist pattern having a preset pitch by the photolithography; and processing the first film by using the first resist pattern and the second resist pattern as a mask.
    Type: Grant
    Filed: June 6, 2008
    Date of Patent: August 2, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Nakajima, Kazuhide Hasebe, Pao-Hwa Chou, Mitsuaki Iwashita, Reiji Niino
  • Publication number: 20110180910
    Abstract: A vertical semiconductor device with improved junction profile and a method of manufacturing the same are provided. The vertical semiconductor device includes a pillar vertically extended from a surface of a semiconductor substrate, a silicon layer formed in a bit line contact region of one sidewall of the pillar, and a junction region formed within a portion of the pillar contacting with the silicon layer.
    Type: Application
    Filed: December 27, 2010
    Publication date: July 28, 2011
    Applicant: Hynix Semiconductor Inc.
    Inventor: Hyun Jung KIM
  • Patent number: 7981812
    Abstract: Methods for forming an ultra thin structure using a method that includes multiple cycles of polymer deposition of photoresist (PDP) process and etching process. The embodiments described herein may be advantageously utilized to fabricate a submicron structure on a substrate having a critical dimension less than 55 nm and beyond. In one embodiment, a method of forming a submicron structure on a substrate may include providing a substrate having a patterned photoresist layer disposed on a film stack into an etch chamber, wherein the film stack includes at least a hardmask layer disposed on a dielectric layer, performing a polymer deposition process to deposit a polymer layer on the pattered photoresist layer, thus reducing a critical dimension of an opening in the patterned photoresist layer, and etching the underlying hardmask layer through the opening having the reduced dimension.
    Type: Grant
    Filed: July 3, 2008
    Date of Patent: July 19, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Kang-Lie Chiang, Chia-Ling Kao
  • Patent number: 7972941
    Abstract: A gate structure is formed on a substrate. An insulating interlayer is formed covering the gate structure. The substrate is heat treated while exposing a surface of the insulating interlayer to a hydrogen gas atmosphere. A silicon nitride layer is formed directly on the interlayer insulating layer after the heat treatment and a metal wiring is formed on the insulating interlayer. The metal wiring may include copper. Heat treating the substrate while exposing a surface of the interlayer insulating layer to a hydrogen gas atmosphere may be preceded by forming a plug through the first insulating interlayer that contacts the substrate, and the metal wiring may be electrically connected to the plug. The plug may include tungsten.
    Type: Grant
    Filed: July 1, 2008
    Date of Patent: July 5, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-Won Hong, Gil-Heyun Choi, Jong-Myeong Lee, Geum-Jung Seong
  • Patent number: 7964506
    Abstract: A two-step semiconductor electroplating process deposits copper onto wafers coated with a semi-noble metal in manner that is uniform across the wafer and free of voids after a post electrofill anneal. A seed-layer plating bath nucleates copper uniformly and conformably at a high density in a very thin film using a unique pulsed waveform. The wafer is then annealed before a second bath fills the features. The seed-layer anneal improves adhesion and stability of the semi-noble to copper interface, and the resulting copper interconnect stays void-free after a post electrofill anneal.
    Type: Grant
    Filed: March 6, 2008
    Date of Patent: June 21, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: Thomas Ponnuswamy, John Sukamto, Jonathan Reid, Steve Mayer
  • Patent number: 7960224
    Abstract: A method for operating a memory device includes applying a sequence of bias arrangements across a selected metal-oxide memory element to change among resistance states. The sequence of bias arrangements includes a first set of one or more pulses to change the resistance state of the selected metal-oxide memory element from the first resistance state to a third resistance state, and a second set of one or more pulses to change the resistance state of the selected metal-oxide memory element from the third resistance state to the second resistance state.
    Type: Grant
    Filed: February 19, 2009
    Date of Patent: June 14, 2011
    Assignee: Macronix International Co., Ltd.
    Inventors: Wei-Chih Chien, Kuo-Pin Chang, Yi-Chou Chen, Erh-Kun Lai, Kuang-Yeu Hsieh
  • Patent number: 7960265
    Abstract: A method for fabricating a semiconductor device includes forming an etch target layer over a substrate including a cell region and a peripheral region, forming a first mask pattern having a first portion and a second portion over the etch target layer in the cell region and forming a second mask pattern having a first portion and a second portion over the etch target layer in the peripheral region, forming a photoresist pattern over the cell region, trimming the first portion of the second mask pattern, removing the photoresist pattern and the second portion of the first mask pattern and the second portion of the second mask pattern, and etching the etch target layer to form a pattern in the cell region and a pattern in the peripheral region.
    Type: Grant
    Filed: June 15, 2010
    Date of Patent: June 14, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jin-Ki Jung
  • Patent number: 7927957
    Abstract: A bonded silicon wafer is produced by a method including an oxygen ion implantation step on a silicon wafer for active layer having the specified wafer face; a step of bonding the silicon wafer for active layer to a silicon wafer for support; a first heat treatment step; an inner SiO2 layer exposing step; a step of removing the inner SiO2 layer; and a planarizing step of polishing a silicon wafer composite or subjecting the silicon wafer composite to a heat treatment in a reducing atmosphere (a second heat treatment step).
    Type: Grant
    Filed: September 11, 2009
    Date of Patent: April 19, 2011
    Assignee: SUMCO Corporation
    Inventors: Tatsumi Kusaba, Akihiko Endo, Hideki Nishihata, Nobuyuki Morimoto
  • Patent number: 7923361
    Abstract: The resist film after high-concentration ion implantation has a hard modified layer on the surface thereof, and is difficult to remove in the temperature region as low as about 150 degrees centigrade. This is because the etching rate of the modified layer sharply decreases with a decrease in temperature. The temperature is increased up to about 250 degrees centigrade to perform an ashing treatment in vacuum in order to increase the etching rate of the modified layer. Then, there occurs a popping phenomenon that the inside resist solvent swells and breaks. The residues scattered thereby of the modified layer and the like seize the wafer surface, and also become difficult to remove even in the subsequent cleaning.
    Type: Grant
    Filed: May 29, 2009
    Date of Patent: April 12, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Katsuhisa Shibuya, Hiromichi Waki, Naoto Aida
  • Patent number: 7913645
    Abstract: In a first aspect, a first method is provided. The first method includes the steps of (1) preconditioning a process chamber with an aggressive plasma; (2) loading a substrate into the process chamber; and (3) performing plasma nitridation on the substrate within the process chamber. The process chamber is preconditioned using a plasma power that is at least 150% higher than a plasma power used during plasma nitridation of the substrate. Numerous other aspects are provided.
    Type: Grant
    Filed: July 25, 2006
    Date of Patent: March 29, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Tatsuya Sato, Patricia M. Liu, Fanos Christodoulou
  • Patent number: 7910497
    Abstract: Methods of forming dielectric layers on a substrate comprising silicon and oxygen are disclosed herein. In some embodiments, a method of forming a dielectric layer on a substrate includes provide a substrate having an exposed silicon oxide layer; treating an upper surface of the silicon oxide layer with a plasma; and depositing a silicon nitride layer on the treated silicon oxide layer via atomic layer deposition. The silicon nitride layer may be exposed to a plasma nitridation process. The silicon oxide and silicon nitride layers may be subsequently thermally annealed. The dielectric layers may be used as part of a gate structure.
    Type: Grant
    Filed: July 30, 2007
    Date of Patent: March 22, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Christopher S. Olsen, Tejal Goyani, Johanes Swenberg
  • Patent number: 7910936
    Abstract: A method of forming a semiconductor device including forming a low-k dielectric material over a substrate, depositing a liner on a portion of the low-k dielectric material, and exposing the liner to a plasma. The method also includes depositing a layer over the liner.
    Type: Grant
    Filed: December 9, 2008
    Date of Patent: March 22, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Sameer Kumar Ajmera, Patricia Beauregard Smith, Changming Jin
  • Patent number: 7906834
    Abstract: A display device having a thin film semiconductor device including a semiconductor thin film having first and second semiconductor regions formed each into a predetermined shape above an insulative substrate, a conductor fabricated into a predetermined shape to the semiconductor thin film and a dielectric film put between the semiconductor thin film and the conductor, in which the semiconductor thin film is a polycrystal thin film with the crystallization ratio thereof exceeding 90% and the difference of unevenness on the surface of the semiconductor thin film does not exceed 10 nm.
    Type: Grant
    Filed: July 29, 2008
    Date of Patent: March 15, 2011
    Assignee: Hitachi Displays, Ltd.
    Inventors: Toshiyuki Mine, Mitsuharu Tai, Akio Shima
  • Patent number: 7902061
    Abstract: A method of making an interconnect structure: which includes providing an interconnect structure in a dielectric material, recessing the dielectric material such that a portion of the interconnect structure extends above an upper surface of the dielectric material; and depositing an encasing cap over the extended portion of the interconnect structure.
    Type: Grant
    Filed: August 27, 2008
    Date of Patent: March 8, 2011
    Assignee: International Business Machines Corporation
    Inventors: Lawrence A. Clevenger, Timothy J. Dalton, Louis C. Hsu, Carl Radens, Theodorus E. Standaert, Keith Kwong Hon Wong, Chih-Chao Yang
  • Patent number: 7897414
    Abstract: A method of manufacturing a semiconductor device has forming a ferroelectric film over a substrate, placing the substrate having the ferroelectric film in a chamber substantially held in vacuum, introducing oxygen and an inert gas into the chamber, annealing the ferroelectric film in the chamber, and containing oxygen and the inert gas while the chamber is maintained sealed.
    Type: Grant
    Filed: January 8, 2009
    Date of Patent: March 1, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Kouichi Nagai
  • Patent number: 7897489
    Abstract: A method of selectively attaching a capping agent to an H-passivated Si or Ge surface is disclosed. The method includes providing the H-passivated Si or Ge surface, the H-passivated Si or Ge surface including a set of covalently bonded Si or Ge atoms and a set of surface substitutional atoms, wherein the set of surface substitutional atoms includes at least one of boron atoms, aluminum atoms, gallium atoms, indium atoms, tin atoms, lead atoms, phosphorus atoms, arsenic atoms, sulfur atoms, and bismuth atoms. The method also includes exposing the set of surface functional atoms to a set of capping agents, each capping agent of the set of capping agents having a set of functional groups bonded to a pair of carbon atoms, wherein the pair of carbon atoms includes at least one pi orbital bond, and further wherein a covalent bond is formed between at least some surface substitutional atoms of the set of surface substitutional atoms and at least some capping agents of the set of capping agents.
    Type: Grant
    Filed: June 17, 2008
    Date of Patent: March 1, 2011
    Assignee: Innovalight, Inc.
    Inventor: Elena Rogojina
  • Patent number: 7892934
    Abstract: On the side of a surface (the bonding surface side) of a single crystal Si substrate, a uniform ion implantation layer is formed at a prescribed depth (L) in the vicinity of the surface. The surface of the single crystal Si substrate and a surface of a transparent insulating substrate as bonding surfaces are brought into close contact with each other, and bonding is performed by heating the substrates in this state at a temperature of 350° C. or below. After this bonding process, an Si—Si bond in the ion implantation layer is broken by applying impact from the outside, and a single crystal silicon thin film is mechanically peeled along a crystal surface at a position equivalent to the prescribed depth (L) in the vicinity of the surface of the single crystal Si substrate.
    Type: Grant
    Filed: November 1, 2006
    Date of Patent: February 22, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Makoto Kawai, Yoshihiro Kubota, Atsuo Ito, Koichi Tanaka, Yuuji Tobisaka, Shoji Akiyama
  • Patent number: 7867920
    Abstract: There is provided a method for modifying a high-k dielectric thin film provided on the surface of an object using a metal organic compound material. The method includes a preparation process for providing the object with the high-k dielectric thin film formed on the surface thereof, and a modification process for applying UV rays to the highly dielectric thin film in an inert gas atmosphere while maintaining the object at a predetermined temperature to modify the high-k dielectric thin film. According to the above constitution, the carbon component can be eliminated from the high-k dielectric thin film, and the whole material can be thermally shrunk to improve the density, whereby the occurrence of defects can be prevented and the film density can be improved to enhance the specific permittivity and thus to provide a high level of electric properties.
    Type: Grant
    Filed: November 22, 2006
    Date of Patent: January 11, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Kazuyoshi Yamazaki, Shintaro Aoyama, Koji Akiyama
  • Patent number: 7863196
    Abstract: A method of forming a dielectric layer includes providing a substrate that has a copper region and a non-copper region. The substrate is etched to remove any copper oxides from the copper region. A dielectric cap is then selectively formed over the copper region of the substrate so that little or no dielectric cap is formed over the non-copper region of the substrate.
    Type: Grant
    Filed: May 10, 2007
    Date of Patent: January 4, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hulin Chang, Yung-Cheng Lu, Syun-Ming Jang
  • Patent number: 7838400
    Abstract: A method of manufacturing a solar cell is provided. One surface of a semiconductor substrate is doped with a n-type dopant. The substrate is then subjected to a thermal oxidation process to form an oxide layer on one or both surfaces of the substrate. The thermal process also diffuses the dopant into the substrate, smoothing the concentration profile. The smoothed concentration gradient enables the oxide layer to act as a passivating layer. Anti-reflective coatings may be applied over the oxide layers, and a reflective layer may be applied on the surface opposite the doped surface to complete the solar cell.
    Type: Grant
    Filed: July 17, 2008
    Date of Patent: November 23, 2010
    Assignee: Applied Materials, Inc.
    Inventor: Peter Borden
  • Patent number: 7838402
    Abstract: A method of manufacturing an electronic apparatus having a resist pattern provided over a substrate provided with a thin film transistor, the method includes the steps of forming by application a resist film over the substrate in the state of covering the thin film transistor, forming a resist pattern by subjecting the resist film to exposure to light and a developing treatment, and irradiating the resist pattern with at least one of ultraviolet light and visible light in a dry atmosphere in the condition where a channel part of the thin film transistor is prevented from being irradiated with light having a wavelength of shorter than 260 nm, wherein a step of heat curing the resist pattern is conducted after the irradiation with at least one of ultraviolet light and visible light.
    Type: Grant
    Filed: November 21, 2008
    Date of Patent: November 23, 2010
    Assignee: Sony Corporation
    Inventors: Koichi Nagasawa, Takashi Yamaguchi, Nobutaka Ozaki, Yasuhiro Kanaya, Hirohisa Takeda, Yasuo Mikami, Yoshifumi Mutoh
  • Patent number: 7825014
    Abstract: A method for fabricating a semiconductor device includes forming a pattern including a first layer including tungsten, performing a gas flowing process on the pattern in a gas ambience including nitrogen, and forming a second layer over the pattern using a source gas including nitrogen, wherein the purge is performed at a given temperature for a given period of time in a manner that a reaction between the first layer and the nitrogen used when forming the second layer is controlled.
    Type: Grant
    Filed: June 30, 2008
    Date of Patent: November 2, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Min-Gyu Sung, Hong-Seon Yang, Tae-Kwon Lee, Won Kim, Kwan-Yong Lim, Seung-Ryong Lee
  • Patent number: 7816279
    Abstract: A semiconductor device includes a first conductor disposed on a semiconductor substrate; an oxygen-containing insulation film disposed on the semiconductor substrate and on the first conductor, the insulation film having a contact hole which extends to the first conductor and a trench which is connected to an upper portion of the contact hole; a zirconium oxide film disposed on a side surface of the contact hole and a side surface and a bottom surface of the trench; a zirconium film disposed on the zirconium oxide film inside the contact hole and inside the trench; and a second conductor composed of Cu embedded into the contact hole and into the trench.
    Type: Grant
    Filed: February 11, 2009
    Date of Patent: October 19, 2010
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Michie Sunayama, Yoshiyuki Nakao, Noriyoshi Shimizu
  • Patent number: 7816200
    Abstract: The present invention generally includes a method and an apparatus for depositing both a high k layer and a capping layer within the same processing chamber by coupling gas precursors, liquid precursors, and solid precursors to the same processing chamber. By coupling gas precursors, liquid precursors, and solid precursors to the same processing chamber, a high k dielectric layer, a capping layer for a PMOS section, and a different capping layer for a NMOS may be deposited within the same processing chamber. The capping layer prevents the metal containing electrode from reacting with the high k dielectric layer. Thus, the threshold voltage for the PMOS and NMOS may be substantially identical.
    Type: Grant
    Filed: April 22, 2008
    Date of Patent: October 19, 2010
    Assignee: Applied Materials, Inc.
    Inventor: Shreyas Kher
  • Patent number: 7807574
    Abstract: An etching method in a semiconductor device includes forming a nitride-based first hard mask layer over a target etch layer, forming a carbon-based second hard mask pattern over the first hard mask layer, etching the first hard mask layer using the second hard mask pattern as an etch barrier to form a first hard mask pattern, cleaning a resultant structure including the first hard mask pattern, and etching the target etch layer using the second hard mask pattern as an etch barrier.
    Type: Grant
    Filed: May 10, 2007
    Date of Patent: October 5, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jae-Seon Yu, Sang-Rok Oh
  • Patent number: 7807515
    Abstract: Disclosed is an oxide semiconductor having an amorphous structure, wherein higher mobility and reduced carrier concentration are achieved. Also disclosed are a thin film transistor, a method for producing the oxide semiconductor, and a method for producing the thin film transistor. Specifically disclosed is an oxide semiconductor which is characterized by being composed of an amorphous oxide represented by the following a general formula: Inx+1MZny+1SnzO(4+1.5x+y+2z) (wherein M is Ga or Al, 0?x?1, ?0.2?y?1.2, z?0.4 and 0.5?(x+y)/z?3). This oxide semiconductor is preferably subjected to a heat treatment in an oxidizing gas atmosphere after film formation. Also specifically disclosed is a thin film transistor which is characterized by comprising the oxide semiconductor.
    Type: Grant
    Filed: May 25, 2007
    Date of Patent: October 5, 2010
    Assignee: Fuji Electric Holding Co., Ltd.
    Inventors: Hisato Kato, Haruo Kawakami, Nobuyuki Sekine, Kyoko Kato
  • Patent number: 7803715
    Abstract: Multi-layered carbon-based hardmask and method to form the same. The multi-layered carbon-based hardmask includes at least top and bottom carbon-based hardmask layers having different refractive indexes. The top and bottom carbon-based hardmask layer thicknesses and refractive indexes are tuned so that the top carbon-based hardmask layer serves as an anti-reflective coating (ARC) layer.
    Type: Grant
    Filed: December 29, 2008
    Date of Patent: September 28, 2010
    Inventors: Shai Haimson, Gabe Schwartz, Michael Shifrin
  • Patent number: 7799684
    Abstract: A two-step semiconductor electroplating process deposits copper onto wafers coated with a semi-noble metal in manner that is uniform across the wafer and free of voids. A plating bath nucleates copper uniformly and conformably at a high density in a very thin film. A second bath fills the features. A unique pulsed waveform enhances the nucleation density and reduces resistivity of the very thin film deposited in the nucleation operation. The process produces a thinner and conformal copper seed film than traditional PVD copper seed processes.
    Type: Grant
    Filed: March 5, 2007
    Date of Patent: September 21, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Jonathan Reid, Seyang Park, Seshasayee Varadarajan, Natalia Doubina
  • Patent number: 7799651
    Abstract: The present invention relates to a method of treating a structure produced from semiconductor materials, wherein the structure includes a first and second substrates defining a common interface that has defects. The method includes forming a layer, called the disorganized layer, which includes the interface, in which at least a part of the crystal lattice is disorganized; and reorganizing the crystal lattice of the disorganized layer in order to force the defects back deeper into the first substrate.
    Type: Grant
    Filed: June 30, 2008
    Date of Patent: September 21, 2010
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventors: Carlos Mazure, Ian Cayrefourcq, Konstantin Bourdelle
  • Patent number: 7795143
    Abstract: A substrate processing apparatus, including: a reaction container in which a substrate is processed; a seal cap, brought into contact with one end in an opening side of the reaction container via a first sealing member and a second sealing member so as to seal the opening of the reaction container air-tightly; a first gas channel, formed in a region between the first sealing member and the second sealing member in a state where the seal cap is in contact with the reaction container; a second gas channel, provided to the seal cap and through which the first gas channel is in communication with an inside of the reaction container; a first gas supply port that is provided to the reaction container and supplies a first gas to the first gas channel; and a second gas supply port that is provided to the reaction container and supplies a second gas into the reaction container, wherein a front end opening of the first gas supply port opening to the first gas channel, and a base opening of the second gas channel openin
    Type: Grant
    Filed: August 9, 2007
    Date of Patent: September 14, 2010
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Kiyohiko Maeda, Takeo Hanashima, Masanao Osanai
  • Patent number: 7790563
    Abstract: A semiconductor device of the present invention is manufactured by the following steps: forming a single-crystal semiconductor layer over a substrate having an insulating surface; irradiating a region of the single-crystal semiconductor layer with laser light; forming a circuit of a pixel portion using a region of the single-crystal semiconductor layer which is not irradiated with the laser light; and forming a driver circuit for driving the circuit of the pixel portion using the region of the single-crystal semiconductor layer which is irradiated with the laser light. Thus, a semiconductor device using a single-crystal semiconductor layer which is suitable for a peripheral driver circuit region and a single-crystal semiconductor layer which is suitable for a pixel region can be provided.
    Type: Grant
    Filed: July 8, 2008
    Date of Patent: September 7, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Tetsuya Kakehata
  • Patent number: 7785936
    Abstract: The present invention relates to a method for repairing a semiconductor device. The method includes cutting a fuse without creation of residue by transforming the fuse into a nonconductor of high resistance by oxidizing the fuse by irradiating the fuse with an oxygen ion beam instead of a laser in a blowing process. The method includes transforming a fuse corresponding to a defective cell among a plurality of fuses formed in an upper portion of a semiconductor substrate into an oxide film.
    Type: Grant
    Filed: December 30, 2008
    Date of Patent: August 31, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Chi Hwan Jang
  • Patent number: 7772597
    Abstract: The present invention relates to an LED lamp including a pair of lead terminals 2 and 3, a cup portion 8 formed at an end of one of the lead terminals by denting the end and having a conical inner peripheral surface serving as a light-reflective surface 9, an LED chip 4, a transparent synthetic resin member 6 covering the ends of the paired lead terminals 2 and 3. The LED chip 4 includes an upper surface provided with an n-electrode 4d or a p-electrode 4e and a lower surface provided with a p-electrode 4e or an n-electrode 4d. An n-type semiconductor layer 4a and a p-type semiconductor layer 4b are provided between the n-electrode 4d and the p-electrode 4e and laminated to each other via a light emitting layer 4c interposed therebetween. The side surface of the LED chip 4 except for the n-electrode 4d and the p-electrode 4e is coated with light-transmitting synthetic resin 10 containing powder of a fluorescent material.
    Type: Grant
    Filed: April 20, 2005
    Date of Patent: August 10, 2010
    Assignee: Rohm Co., Ltd.
    Inventor: Tomio Inoue
  • Patent number: 7767582
    Abstract: A method of manufacturing a semiconductor device, includes forming a sacrifice film on an etching target film, forming an etching mask on the sacrifice film, etching the etching target film using the etching mask as a mask, removing the sacrifice film to allow the etching mask to adhere to the etching target film, and removing the etching mask.
    Type: Grant
    Filed: September 22, 2006
    Date of Patent: August 3, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Nobuyasu Nishiyama, Kazuhiro Tomioka, Tokuhisa Ohiwa
  • Patent number: 7763545
    Abstract: In a semiconductor device manufacturing method having the etching step of an electrode material film constituting a capacitor using ferroelectric substance or high- dielectric substance, etching of a conductive film that acts as an electrode of the capacitor formed over a semiconductor substrate is carried out in an atmosphere containing bromine, and a heating temperature of the semiconductor substrate is set in a range of 300° C. to 600° C., otherwise etching of at least the conductive film is carried out in an atmosphere to which only hydrogen bromide and oxygen are supplied from an outside.
    Type: Grant
    Filed: February 25, 2003
    Date of Patent: July 27, 2010
    Assignees: Fujitsu Semiconductor Limited, ULVAC, Inc.
    Inventors: Hideaki Kikuchi, Genichi Komuro, Mitsuhiro Endo, Naoki Hirai
  • Patent number: 7758739
    Abstract: We describe an ultra-small structure and a method of producing the same. The structures produce visible light of varying frequency, from a single metallic layer. In one example, a row of metallic posts are etched or plated on a substrate according to a particular geometry. When a charged particle beam passed close by the row of posts, the posts and cavities between them cooperate to resonate and produce radiation in the visible spectrum (or even higher). A plurality of such rows of different geometries are formed by either etching or plating from a single metal layer such that the charged particle beam will yield different visible light frequencies (i.e., different colors) using different ones of the rows.
    Type: Grant
    Filed: May 15, 2006
    Date of Patent: July 20, 2010
    Assignee: Virgin Islands Microsystems, Inc.
    Inventors: Jonathan Gorrell, Mark Davidson, Jean Tokarz, Michael E. Maines, Andres Trucco, Paul Hart
  • Patent number: 7754592
    Abstract: A method for fabricating a semiconductor device includes forming an etch target layer over a substrate including a cell region and a peripheral region, forming a first mask pattern having a first portion and a second portion over the etch target layer in the cell region and forming a second mask pattern having a first portion and a second portion over the etch target layer in the peripheral region, forming a photoresist pattern over the cell region, trimming the first portion of the second mask pattern, removing the photoresist pattern and the second portion of the first mask pattern and the second portion of the second mask pattern, and etching the etch target layer to form a pattern in the cell region and a pattern in the peripheral region.
    Type: Grant
    Filed: June 29, 2007
    Date of Patent: July 13, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jin-Ki Jung
  • Patent number: 7754610
    Abstract: A method of plasma etching tungsten silicide over polysilicon particularly useful in fabricating flash memory having both a densely packed area and an open (iso) area requiring a long over etch due to microloading. Wafer biasing is decreased in the over etch. The principal etchant include NF3 and Cl2. Argon is added to prevent undercutting at the dense/iso interface. Oxygen and nitrogen oxidize any exposed silicon to increase etch selectivity and straightens the etch profile. SiCl4 may be added for additional selectivity.
    Type: Grant
    Filed: June 2, 2006
    Date of Patent: July 13, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Kyeong-Tae Lee, Jinhan Choi, Bi Jang, Shashank C. Deshmukh, Meihua Shen, Thorsten B. Lill, Jae Bum Yu
  • Patent number: 7745349
    Abstract: A method for fabricating a semiconductor transistor which eliminates device defects generated during an etching process for forming gates. The method may include laminating an ONO layer on and/or over a semiconductor substrate, and then coating a polysilicon layer on and/or over the ONO layer, and then forming a photoresist pattern on and/or over the polysilicon layer, and then sequentially performing a first etching of the polysilicon layer using the photoresist pattern as an etching mask so as to maintain a predetermined thickness of the polysilicon layer and then a second etching to remove the polysilicon layer remaining from the first etching.
    Type: Grant
    Filed: June 12, 2008
    Date of Patent: June 29, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Jeong-Yel Jang
  • Patent number: 7727828
    Abstract: A method for fabricating a gate dielectric of a field effect transistor is provided. In one embodiment, the method includes removing a native oxide layer, forming an oxide layer, forming a gate dielectric layer over the oxide layer, forming an oxide layer over the gate dielectric layer, and annealing the layers and underlying thermal oxide/silicon interface. Optionally, the oxide layer may be nitridized prior to forming the gate dielectric layer. In one embodiment, the oxide layer on the substrate is formed by depositing the oxide layer, and the oxide layer on the gate dielectric layer is formed by oxidizing at least a portion of the gate dielectric layer using an oxygen-containing plasma. In another embodiment, the oxide layer on the gate dielectric layer is formed by forming a thermal oxide layer, i.e., depositing the oxide layer on the gate dielectric layer.
    Type: Grant
    Filed: May 5, 2006
    Date of Patent: June 1, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Thai Cheng Chua, Cory Czarnik, Andreas G. Hegedus, Christopher Sean Olsen, Khaled Z. Ahmed, Philip Allan Kraus
  • Patent number: 7727780
    Abstract: A semiconductor manufacturing apparatus and substrate processing method includes a step of acquiring a measurement value based on a first detecting and a second detecting section and determining a first difference of measurement values between the first detecting section and the second detecting section, comparing between a previously stored second difference between measurement values concerning the first detecting section and the second detecting section, calculating a correction value for a pressure in a cooling-gas passage provided between a process chamber and a heating device depending upon the first difference when the first difference is different from the second difference, and correcting the pressure value based on the pressure correction value, and a step of processing the substrate by flowing a cooling gas through the cooling-gas passage while heating the process chamber, and placing the heating device and the cooling device under a control section depending upon a pressure value corrected.
    Type: Grant
    Filed: January 23, 2008
    Date of Patent: June 1, 2010
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Masashi Sugishita, Masaaki Ueno, Akira Hayashida
  • Patent number: 7709949
    Abstract: A method of patterning a metal layer in a semiconductor die comprises forming a mask on the metal layer to define an open region and a dense region. The method further comprises etching the metal layer at a first etch rate to form a number of metal segments in the open region and etching the metal layer at a second etch rate to form a number of metal segments in the dense region, where the first etch rate is approximately equal to the second etch rate. The method further comprises performing a number of strip/passivate cycles to remove a polymer formed on sidewalls of the metal segments in the dense region. The sidewalls of the metal segments in the dense region undergo substantially no undercutting and residue is removed from the sidewalls of the metal segments in the dense region.
    Type: Grant
    Filed: April 22, 2005
    Date of Patent: May 4, 2010
    Assignee: Newport Fab, LLC
    Inventors: Tinghao F. Wang, Dieter Dornisch, Julia M. Wu, Hadi Abdul-Ridha, David J. Howard