Ion Implantation Of Dopant Into Semiconductor Region Patents (Class 438/514)
  • Publication number: 20130099327
    Abstract: A complementary metal-oxide semiconductor (CMOS) device is disclosed. The CMOS device includes a substrate, a well region formed in the substrate, and a gate formed on the substrate. The CMOS device also includes a first region and a second region formed in the well region and arranged at two sides of the gate. Further, the CMOS device includes a first light-doped drain (LDD) region and a second LDD region formed in the well region and extending the first region and the second region, respectively, towards the gate. The CMOS device also includes a first doped layer formed in the first LDD region, and a conduction type of an ion doped in the first doped layer is opposite to a conduction type of an ion doped in the first LDD region.
    Type: Application
    Filed: November 30, 2011
    Publication date: April 25, 2013
    Inventors: Hsiaochia Wu, Li Guo, Guangtao Han, Jian Yan
  • Patent number: 8426287
    Abstract: A method of manufacturing a semiconductor device includes the steps of forming a gate electrode of a transistor on an insulator layer on a surface of a semiconductor substrate, forming an isolation region by performing ion implantation of an impurity of a first conductivity type into the semiconductor substrate, forming a lightly doped drain region by performing, after forming a mask pattern including an opening portion narrower than a width of the gate electrode on an upper layer of the gate electrode of the transistor, ion implantation of an impurity of a second conductivity type near the surface of the semiconductor substrate with the mask pattern as a mask, and forming a source region and a drain region of the transistor by performing ion implantation of an impurity of the second conductivity type into the semiconductor substrate after forming the gate electrode of the transistor.
    Type: Grant
    Filed: November 12, 2010
    Date of Patent: April 23, 2013
    Assignee: Sony Corporation
    Inventor: Masashi Yanagita
  • Publication number: 20130095643
    Abstract: Methods for processing a substrate are provided herein. In some embodiments, a method of processing a substrate may include implanting a dopant species into the one or more regions of the substrate using a first dopant precursor comprising a hydride of the dopant species; and implanting the dopant species into the one or more regions of the substrate using a second dopant precursor comprising fluorine and the dopant species. In some embodiments, the first and second dopant precursors may be provided simultaneously. In some embodiments, the first dopant precursor may be provided for a first time period, followed by providing the first dopant precursor and the second dopant precursor for a second period of time. In some embodiments, the flow of the first dopant precursor and the flow of the second dopant precursor may be alternated until a desired implant level is reached.
    Type: Application
    Filed: October 17, 2011
    Publication date: April 18, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventors: KARTIK SANTHANAM, MATTHEW D. SCOTNEY-CASTLE, MANOJ VELLAIKAL, PETER I. PORSHNEV
  • Publication number: 20130082350
    Abstract: A silicon-on-insulator device having multiple crystal orientations is disclosed. In one embodiment, the silicon-on-insulator device includes a substrate layer, an insulating layer disposed on the substrate layer, a first silicon layer, and a strained silicon layer. The first silicon layer has a first crystal orientation and is disposed on a portion of the insulating layer, and the strained silicon layer is disposed on another portion of the insulating layer and has a crystal orientation different from the first crystal orientation.
    Type: Application
    Filed: November 27, 2012
    Publication date: April 4, 2013
    Inventor: Infineon Technologies AG
  • Publication number: 20130084685
    Abstract: Methods for ion implantation. A method comprises forming a layer of non-crosslinking mask material over a semiconductor region; forming a patterned photoresist layer over the non-crosslinking mask layer; removing the photoresist layer and the non-crosslinking mask layer from the exposed regions, while the masked regions remain covered; and implanting dopant ions into the exposed regions, the dopant ions being blocked from the masked regions. The non-crosslinking mask layer and any remaining photoresist material may be removed. In additional embodiments, the non-crosslinking material comprises carbon. In another embodiment, the non-crosslinking material comprises an oxide. Ion implantations for source and drain, lightly doped drain, and well regions are performed.
    Type: Application
    Filed: September 30, 2011
    Publication date: April 4, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Buh-Kuan Fang
  • Publication number: 20130084694
    Abstract: A method of implanting ions into a workpiece without the formation of junctions, which impact the performance of the workpiece, is disclosed. To counteract the effect of dopant being implanted into the edge of the workpiece, components made of material having an opposite conductivity are placed near the workpiece. As ions from the beam strike these components, ions from the material are sputtered. These ions have the opposite conductivity as the implanted ions, and therefore inhibit the formation of junctions.
    Type: Application
    Filed: September 29, 2011
    Publication date: April 4, 2013
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Julian Blake, Dale Stone
  • Patent number: 8409975
    Abstract: A method for decreasing polysilicon gate resistance in a carbon co-implantation process which includes: depositing a first salicide block layer on a formed gate of a MOS device and etching it to form a first spacer of a side surface of the gate of the MOS device; performing a P-type heavily doped boron implantation process and a thermal annealing treatment, so as to decrease the resistance of the polysilicon gate; removing said first spacer, performing a lightly doped drain process, and performing a carbon co-implantation process at the same time, so as to form ultra-shallow junctions at the interfaces between a substrate and source region and drain region below the gate; re-depositing a second salicide block layer on the gate and etching the mask to form a second spacer; forming a self-aligned silicide on the surface of the MOS device. The invention can decrease the resistance of the P-type polysilicon gate.
    Type: Grant
    Filed: December 29, 2011
    Date of Patent: April 2, 2013
    Assignee: Shanghai Huali Microelectronics Corporation
    Inventor: Liujiang Yu
  • Publication number: 20130075758
    Abstract: A MOSFET includes a semiconductor substrate having a trench formed in a main surface, a gate oxide film, a gate electrode, and a source interconnection. A semiconductor substrate includes an n-type drift layer and a p-type body layer. The trench is formed to penetrate the body layer and to reach the drift layer. The trench includes an outer peripheral trench arranged to surround an active region when viewed two-dimensionally. On the main surface opposite to the active region when viewed from the outer peripheral trench, a potential fixing region where the body layer is exposed is formed. The source interconnection is arranged to lie over the active region when viewed two-dimensionally. The potential fixing region is electrically connected to the source interconnection.
    Type: Application
    Filed: September 13, 2012
    Publication date: March 28, 2013
    Applicant: Sumitomo Electric Industries, Ltd.
    Inventors: Takeyoshi MASUDA, Keiji WADA, Toru HIYOSHI
  • Publication number: 20130075805
    Abstract: According to one embodiment, a method for manufacturing a nonvolatile semiconductor storage device includes; forming a first and a second stacked bodies; forming a through hole penetrating through the first stacked body, a second portion communicating with the first portion and penetrating through a select gate, and a third portion communicating with the second portion and penetrating through a second insulating layer; forming a memory film, a gate insulating film, and a channel body; forming a third insulating layer inside the channel body; forming a first embedded portion above a boundary portion inside the third portion; exposing the channel body by removing part of the first embedded portion and part of the third insulating layer in the third portion; and embedding a second embedded portion including silicon having higher impurity concentration than the first embedded portion above the first embedded portion inside the third portion.
    Type: Application
    Filed: March 14, 2012
    Publication date: March 28, 2013
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Mitsuru SATO, Megumi ISHIDUKI, Masaru KIDOH, Atsushi KONNO, Yoshihiro AKUTSU, Masaru KITO, Yoshiaki FUKUZUMI, Ryota KATSUMATA
  • Patent number: 8404572
    Abstract: An apparatus includes a process chamber configured to perform an ion implantation process. A cooling platen or electrostatic chuck is provided within the process chamber. The cooling platen or electrostatic chuck is configured to support a semiconductor wafer. The cooling platen or electrostatic chuck has a plurality of temperature zones. Each temperature zone includes at least one fluid conduit within or adjacent to the cooling platen or electrostatic chuck. At least two coolant sources are provided, each fluidly coupled to a respective one of the fluid conduits and configured to supply a respectively different coolant to a respective one of the plurality of temperature zones during the ion implantation process. The coolant sources include respectively different chilling or refrigeration units.
    Type: Grant
    Filed: February 13, 2009
    Date of Patent: March 26, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Chun-Lin Chang, Hsin-Hsien Wu, Zin-Chang Wei, Chi-Ming Yang, Chyi-Shyuan Chern, Jun-Lin Yeh, Jih-Jse Lin, Jo-Fei Wang, Ming-Yu Fan, Jong-I Mou
  • Publication number: 20130072008
    Abstract: A technique for ion implanting a target is disclosed. In accordance with one exemplary embodiment, the technique may be realized as a method for ion implanting a target, the method comprising: providing a predetermined amount of processing gas in an arc chamber of an ion source, the processing gas containing implant species and implant species carrier, where the implant species carrier may be one of O and H; providing a predetermined amount of dilutant into the arc chamber, wherein the dilutant may comprise a noble species containing material; and ionizing the processing gas and the dilutant.
    Type: Application
    Filed: September 13, 2012
    Publication date: March 21, 2013
    Inventors: Alexander S. Perel, Craig R. Chaney, Wayne D. LeBlanc, Robert Lindberg, Antonella Cucchetti, Neil J. Bassom, David Sporleder, James Young
  • Patent number: 8394658
    Abstract: Disclosed are methods of forming multi-doped junctions, which utilize a nanoparticle ink to form an ink pattern on a surface of a substrate. From the ink pattern, a densified film ink pattern can be formed. The disclosed methods may allow in situ controlling of dopant diffusion profiles.
    Type: Grant
    Filed: September 21, 2011
    Date of Patent: March 12, 2013
    Assignee: Innovalight, Inc.
    Inventors: Giuseppe Scardera, Dmitry Poplavskyy, Michael Burrows, Sunil Shah
  • Publication number: 20130056856
    Abstract: A method of fabricating a semiconductor device having reduced plasma-induced damage includes providing a p-type semiconductor substrate. The p-type semiconductor substrate has a front surface including the semiconductor device and a back surface. The method further includes doping the back surface with an n-type dopant to form an n-type semiconductor region before forming metal interconnections on the front surface. The n-type semiconductor region and the p-type semiconductor substrate form a pn junction. The method also includes forming an insulation layer on an exposed surface of the n-type semiconductor region.
    Type: Application
    Filed: December 9, 2011
    Publication date: March 7, 2013
    Applicant: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING) CORPORATION
    Inventor: MING ZHOU
  • Patent number: 8389352
    Abstract: Epitaxial deposition of silicon germanium in a semiconductor device is achieved without using masks. Nucleation delays induced by interactions with dopants present before deposition of the silicon germanium are used to determine a period over which an exposed substrate surface may be subjected to epitaxial deposition to form a layer of SiGe on desired parts with substantially no deposition on other parts. Dopant concentration may be changed to achieve desired thicknesses within preferred deposition times. Resulting deposited SiGe is substantially devoid of growth edge effects.
    Type: Grant
    Filed: February 11, 2011
    Date of Patent: March 5, 2013
    Assignee: International Business Machines Corporation
    Inventors: Ashima B. Chakravarti, Abhishek Dube, Dominic J. Schepis
  • Patent number: 8389068
    Abstract: Methods of implanting boron-containing ions using fluorinated boron-containing dopant species that are more readily cleaved than boron trifluoride. A method of manufacturing a semiconductor device including implanting boron-containing ions using fluorinated boron-containing dopant species that are more readily cleaved than boron trifluoride. Also disclosed are a system for supplying a boron hydride precursor, and methods of forming a boron hydride precursor and methods for supplying a boron hydride precursor. In one implementation of the invention, the boron hydride precursors are generated for cluster boron implantation, for manufacturing semiconductor products such as integrated circuitry.
    Type: Grant
    Filed: October 27, 2010
    Date of Patent: March 5, 2013
    Assignee: Advanced Technology Materials, Inc.
    Inventors: W. Karl Olander, Jose I. Arno, Robert Kaim
  • Publication number: 20130052812
    Abstract: A method of manufacturing a semiconductor device, includes a wafer grinding step of, by means of a revolving grinding stone, forming a thinned portion in a wafer while at the same time forming a slope surrounding said thinned portion, wherein during said formation of said slope, said grinding stone is positioned so that there is always a space between said slope and the facing side of said grinding stone, wherein said thinned portion is thinner than a peripheral portion of said wafer, and wherein said slope extends along and defines an inner circumferential side of said peripheral portion and forms an angle of 75° or more but less than 90° with respect to a main surface of said wafer. The method of manufacturing a semiconductor device further includes a step of forming a semiconductor device in said thinned portion.
    Type: Application
    Filed: April 23, 2012
    Publication date: February 28, 2013
    Applicant: Mitsubishi Electric Corporation
    Inventors: Kazunari Nakata, Tamio Matsumura
  • Publication number: 20130049098
    Abstract: In one embodiment, a nonvolatile semiconductor memory device includes a substrate, and a plurality of cell transistors, each of which includes a first insulating layer, a charge storage layer, a second insulating layer, and a control electrode successively provided on the substrate, side surfaces of the charge storage layer including inclined surfaces. The device further includes at least one insulator including a first insulator part provided on side surfaces of the cell transistors and on a top surface of the semiconductor substrate between the cell transistors, and a second insulator part continuously provided on an air gap between the cell transistors and on the cell transistors. A first distance from the top surface of the semiconductor substrate between the cell transistors to a bottom end of the air gap is greater than a thickness of the at least one insulator provided on the side surfaces of the cell transistors.
    Type: Application
    Filed: March 6, 2012
    Publication date: February 28, 2013
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Tatsuo IZUMI, Tohru Ozaki
  • Patent number: 8383498
    Abstract: The present invention provides a method (80) for manufacturing a semiconductor tip. The method comprises obtaining (81) a substrate provided with a layer of tip material, providing (82) a doping profile in the layer of tip material, the doping profile comprising a tapered-shaped region of a first dopant concentration, undoped or lightly doped, e.g. having a dopant concentration of 1017 cm?3 or lower, surrounded by a region of a second dopant concentration, highly doped, e.g. having a dopant concentration above 1017 cm?3, the first dopant concentration being lower than the second dopant concentration, and isotropically etching (83) the layer of tip material by using an etch chemistry for which the etch rate of tip material with the second dopant concentration is substantially higher than the etch rate of the tip material with the first dopant concentration.
    Type: Grant
    Filed: August 29, 2008
    Date of Patent: February 26, 2013
    Assignee: IMEC
    Inventor: Simone Severi
  • Publication number: 20130043563
    Abstract: According to one embodiment, there is provided a method of manufacturing a semiconductor device. In the method, a substrate portion and a fin portion on the substrate portion are formed. A first silicon oxide film is formed on each side surface of the fin portion. A polysilazane film having an upper surface lower than the upper surface of the first silicon oxide film is formed on each side surface of the first silicon oxide film. The polysilazane film is converted into a silicon oxynitride film. The first silicon oxide film is etched to make the upper surface of the first silicon oxide film not higher than the upper surface of the silicon oxynitride film. A heavily doped semiconductor layer is formed in the fin portion.
    Type: Application
    Filed: March 19, 2012
    Publication date: February 21, 2013
    Inventor: Keisuke NAKAZAWA
  • Publication number: 20130045592
    Abstract: A method for manufacturing a SiC semiconductor device includes: a step of forming an oxide film on a surface of a SiC substrate; and a step of removing the oxide film. In the step of forming the oxide film, ozone gas is used. In the step of removing the oxide film, it is preferable to use halogen plasma or hydrogen plasma. In this way, problems associated with a chemical solution can be reduced while obtaining a method and device for manufacturing a SiC semiconductor device, by each of which a cleaning effect can be improved.
    Type: Application
    Filed: November 4, 2011
    Publication date: February 21, 2013
    Applicant: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventors: Tomihito Miyazaki, Hiromu Shiomi, Hideto Tamaso, Takeyoshi Masuda
  • Publication number: 20130045589
    Abstract: A method of manufacturing a transistor of a semiconductor device, the method including forming a gate pattern on a semiconductor substrate, forming a spacer on a sidewall of the gate pattern, wet etching the semiconductor substrate to form a first recess in the semiconductor substrate, wherein the first recess is adjacent to the spacer, and wet etching the first recess to form a second recess in the semiconductor substrate.
    Type: Application
    Filed: July 31, 2012
    Publication date: February 21, 2013
    Inventors: Seokhoon Kim, Sangsu Kim, Chung Geun Koh, Byeongchan Lee, Sunghil Lee, Jinyeong Joe
  • Publication number: 20130045591
    Abstract: A method of semiconductor processing includes coating a top surface of a substrate having a semiconductor surface with a positive photoresist layer. The positive photoresist layer is exposed using a reticle or a mask that defines a pattern. The positive photoresist layer is doped by introducing at least one material modifying species after exposing. The positive photoresist layer is developed with a negative tone developer to form a patterned positive photoresist layer which provides masked portions of the top surface and unmasked portions of the top surface. A selective process is then performed to the unmasked portions of the top surface.
    Type: Application
    Filed: August 15, 2012
    Publication date: February 21, 2013
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: JUDY BROWDER SHAW, SCOTT WILLIAM JESSEN
  • Patent number: 8377807
    Abstract: Defects in a semiconductor substrate due to ion implantation are minimized by forming an implant region in the semiconductor substrate and subjecting the semiconductor substrate to a first anneal to recrystallize the semiconductor substrate. The semiconductor substrate is subjected to a second anneal to suppress diffusion of implanted ions in the semiconductor substrate. The first anneal being at a lower temperature and longer duration than the second anneal.
    Type: Grant
    Filed: September 30, 2010
    Date of Patent: February 19, 2013
    Assignee: Suvolta, Inc.
    Inventors: Lucian Shifren, Taiji Ema
  • Patent number: 8378318
    Abstract: A mask or set of masks is disclosed in which outward projections are placed on either side of at least one aperture. An ion beam is then directed through the mask toward a workpiece. An ion collecting device or an optical system is then used to measure the alignment of the mask to the ion beam. These projections serve to increase the sensitivity of the system to misalignment. In another embodiment, a blocker is used to create a region of the workpiece that is not subjected to a blanket implant. This facilitates the use of optical means to insure and determine alignment of the mask to the ion beam.
    Type: Grant
    Filed: November 18, 2011
    Date of Patent: February 19, 2013
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: George Gammel, Benjamin Riordon
  • Patent number: 8372736
    Abstract: Some embodiments discussed relate to an integrated circuit and methods for making it. In an example, a method can include providing a semiconductor wafer including a fin, and introducing a noise-reducing dopant into a sidewall of the fin.
    Type: Grant
    Filed: April 29, 2011
    Date of Patent: February 12, 2013
    Assignee: Infineon Technologies AG
    Inventor: Domagoj Siprak
  • Patent number: 8367532
    Abstract: A semiconductor device in one embodiment has a first connection region, a second connection region and a semiconductor volume arranged between the first and second connection regions. Provision is made, within the semiconductor volume, in the vicinity of the second connection region, of a field stop zone for spatially delimiting a space charge zone that can be formed in the semiconductor volume, and of an anode region adjoining the first connection region. The dopant concentration profile within the semiconductor volume is configured such that the integral of the ionized dopant charge over the semiconductor volume, proceeding from an interface of the anode region which faces the second connection region, in the direction of the second connection region, reaches a quantity of charge corresponding to the breakdown charge of the semiconductor device only near the interface of the field stop zone which faces the second connection region.
    Type: Grant
    Filed: July 26, 2012
    Date of Patent: February 5, 2013
    Assignee: Infineon Technologies AG
    Inventors: Anton Mauder, Hans-Joachim Schulze, Frank Hille, Holger Schulze, Manfred Pfaffenlehner, Carsten Schäffer, Franz-Josef Niedernostheide
  • Patent number: 8367531
    Abstract: The present invention provides molecules useful for aluminum implant in semiconductor materials. The molecules can be used in various doping techniques such as ion implant, plasma doping or derivates methods.
    Type: Grant
    Filed: March 4, 2011
    Date of Patent: February 5, 2013
    Assignees: L'Air Liquide Societe Anonyme pour l'Etude et l'Exploitation des Procedes Georges Claude, American Air Liquide, Inc.
    Inventors: Vincent M. Omarjee, Christian Dussarrat, Jean-Marc Girard, Nicolas Blasco
  • Publication number: 20130026607
    Abstract: A structure such as an integrated circuit device is described having a line of material with critical dimensions which vary within a distribution substantially less than that of a mask element, such as a patterned resist element, used in manufacturing the line of material.
    Type: Application
    Filed: July 25, 2011
    Publication date: January 31, 2013
    Applicant: SYNOPSYS, INC.
    Inventors: Victor Moroz, Lars Bomholt
  • Publication number: 20130026562
    Abstract: Methods of forming, devices, and apparatus associated with a vertical memory cell are provided. One example method of forming a vertical memory cell can include forming a semiconductor structure over a conductor line. The semiconductor structure can have a first region that includes a first junction between first and second doped materials. An etch-protective material is formed on a first pair of sidewalls of the semiconductor structure above the first region. A volume of the first region is reduced relative to a body region of the semiconductor structure in a first dimension.
    Type: Application
    Filed: July 27, 2011
    Publication date: January 31, 2013
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Kurt D. Beigel, Sanh D. Tang
  • Publication number: 20130026646
    Abstract: A method for forming passivated through wafer vias, passivated through wafer via structures, and passivated through wafer via design structures. The method includes: forming a through wafer via in a semiconductor substrate, the through wafer via comprising an electrical conductor extending from a top of the semiconductor substrate to a bottom surface of the semiconductor substrate; and forming a doped layer abutting all sidewalls of the electrical conductor, the doped layer of a same dopant type as the semiconductor substrate, the concentration of dopant in the doped layer greater than the concentration of dopant in the semiconductor substrate, the doped layer intervening between the electrical conductor and the semiconductor substrate.
    Type: Application
    Filed: July 29, 2011
    Publication date: January 31, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: James W. Adkisson, Jeffrey P. Gambino, Mark D. Jaffe, Alvin J. Joseph
  • Publication number: 20130026610
    Abstract: Lithography methods and devices are shown that include a semiconductor structure such as a mask. Methods and devices are shown that include a pattern of mask features and a composite feature. Selected mask features include doubled mask features. Methods and devices shown may provide varied feature sizes (including sub-resolution) with a small number of processing steps.
    Type: Application
    Filed: July 27, 2011
    Publication date: January 31, 2013
    Inventor: Durga Prasanna Panda
  • Patent number: 8361564
    Abstract: A method for implanting a dopant in a substrate is provided. A patterned photoresist mask is formed over the substrate, wherein the patterned photoresist mask has patterned photoresist mask features. A protective layer is deposited on the patterned photoresist mask by performing a cyclical deposition, wherein each cycle, comprises a depositing phase for depositing a deposition layer over surfaces of the patterned mask of photoresist material and a profile shaping phase for providing vertical sidewalls. A dopant is implanted into the substrate using an ion beam. The protective layer and photoresist mask are removed.
    Type: Grant
    Filed: December 19, 2008
    Date of Patent: January 29, 2013
    Assignee: Lam Research Corporation
    Inventors: Andrew R. Romano, S. M. Reza Sadjadi
  • Patent number: 8354314
    Abstract: Epitaxial deposition of silicon germanium in a semiconductor device is achieved without using masks. Nucleation delays induced by interactions with dopants present before deposition of the silicon germanium are used to determine a period over which an exposed substrate surface may be subjected to epitaxial deposition to form a layer of SiGe on desired parts with substantially no deposition on other parts. Dopant concentration may be changed to achieve desired thicknesses within preferred deposition times. Resulting deposited SiGe is substantially devoid of growth edge effects.
    Type: Grant
    Filed: February 11, 2011
    Date of Patent: January 15, 2013
    Assignee: International Business Machines Corporation
    Inventors: Ashima B. Chakravarti, Abhishek Dube, Dominic J. Schepis
  • Publication number: 20130012007
    Abstract: Methods of implanting dopant ions in a substrate include depositing a sacrificial material on a substrate. Dopant ions are implanted into the substrate while sputtering the sacrificial material, without substantially sputtering the substrate. Substantially no sacrificial material remains on the substrate after the implanting of the dopant ions. Some methods include forming a sacrificial material over a substrate, and implanting dopant ions into the substrate while removing substantially all the sacrificial material from the substrate. Substantially no sputtering of the substrate occurs during the implanting of the dopant ions. Methods of doping a substrate include implanting dopant ions into a substrate having a sacrificial material thereon, and sputtering the sacrificial material while implanting the dopant ions without substantially sputtering the substrate. Substantially no sacrificial material remains on the substrate after implanting the dopant ions.
    Type: Application
    Filed: September 14, 2012
    Publication date: January 10, 2013
    Applicant: Micron Technology, Inc.
    Inventors: Shu Qin, Li Li
  • Patent number: 8349685
    Abstract: A method and manufacture for memory device fabrication is provided. In one embodiment, at least one oxide-nitride spacer is formed as follows. An oxide layer is deposited over a flash memory device such that the deposited oxide layer is at least 250 Angstroms thick. The flash memory device includes a substrate and dense array of word line gates with gaps between each of the word lines gate in the dense array. Also, the deposited oxide layer is deposited such that it completely gap-fills the gaps between the word line gates of the dense array of word line gates. Next, a nitride layer is depositing over the oxide layer. Then, the nitride layer is etched until the at least a portion of the oxide layer is exposed. Next, the oxide layer is etched until at least a portion of the substrate is exposed.
    Type: Grant
    Filed: December 3, 2010
    Date of Patent: January 8, 2013
    Assignee: Spansion LLC
    Inventors: Angela T. Hui, Shenqing Fang
  • Patent number: 8350365
    Abstract: A hard implantation mask layer is formed on a semiconductor wafer. An etch mask layer is formed on the hard implantation mask layer and patterned. The hard implantation mask layer is etched to form a well implantation pattern and ions are implanted into the semiconductor wafer to form wells in the semiconductor wafer, in areas where the semiconductor wafer is not covered by the well implantation mask.
    Type: Grant
    Filed: January 13, 2011
    Date of Patent: January 8, 2013
    Assignee: Xilinx, Inc.
    Inventors: Yun Wu, Hong-Tsz Pan, Qi Lin, Bang-Thu Nguyen
  • Publication number: 20130005126
    Abstract: Semiconductor structures including a high k gate dielectric material that has at least one surface threshold voltage adjusting region located within 3 nm or less from an upper surface of the high k gate dielectric are provided. The at least one surface threshold voltage adjusting region is formed by a cluster beam implant step in which at least one threshold voltage adjusting impurity is formed directly within the high k gate dielectric or driven in from an overlying threshold voltage adjusting material which is subsequently removed from the structure following the cluster beam implant step.
    Type: Application
    Filed: September 10, 2012
    Publication date: January 3, 2013
    Applicant: International Business Machines Corporation
    Inventors: Oleg Gluschenkov, Dae-Gyu Park, Haizhou Yin
  • Patent number: 8343860
    Abstract: The present invention provides molecules with high carbon content for Carbon-containing species implant in semiconductor material. The molecules can be used in various doping techniques such as ion implant, plasma doping or derivates methods.
    Type: Grant
    Filed: March 4, 2011
    Date of Patent: January 1, 2013
    Assignees: L'Air Liquide Societe Anonyme pour l'Etude et l'Exploitation des Procedes Georges Claude, American Air Liquide, Inc.
    Inventors: Vincent M. Omarjee, Christian Dussarrat, Jean-Marc Girard, Nicolas Blasco
  • Publication number: 20120329226
    Abstract: There is provided a low power memory device with JFET device structures. Specifically, a low power memory device is provided that includes a plurality memory cells having a memory element and a JFET access device electrically coupled to the memory element. The memory cells may be isolated using diffusion based isolation.
    Type: Application
    Filed: September 5, 2012
    Publication date: December 27, 2012
    Applicant: Micron Technology, Inc.
    Inventor: Chandra Mouli
  • Publication number: 20120329256
    Abstract: According to an embodiment, a method of manufacturing a semiconductor device is provided. This method of manufacturing a semiconductor device sets a first voltage to be applied to an electrode configured to extract an ion beam from an ion source, and a second voltage to be applied to a decelerator through which an ion beam extracted from the ion source is to pass, on the basis of a second impurity profile which is formed in a substrate by neutral particles included in the ion beam.
    Type: Application
    Filed: March 9, 2012
    Publication date: December 27, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Takayuki ITO, Koji Hadano, Masayuki Jinguji
  • Patent number: 8338280
    Abstract: Embodiments relate to a method for fabricating nano-wires in nano-devices, and more particularly to nano-device fabrication using end-of-range (EOR) defects. In one embodiment, a substrate with a surface crystalline layer over the substrate is provided and EOR defects are created in the surface crystalline layer. One or more fins with EOR defects embedded within is formed and oxidized to form one or more fully oxidized nano-wires with nano-crystals within the core of the nano-wire.
    Type: Grant
    Filed: July 8, 2010
    Date of Patent: December 25, 2012
    Assignees: GLOBALFOUNDRIES Singapore Pte. Ltd., Nanyang Technological University
    Inventors: Dexter Tan, Kin Leong Pey, Sai Hooi Yeong, Yoke King Chin, Kuang Kian Ong, Chee Mang Ng
  • Patent number: 8339758
    Abstract: A transient voltage suppressor and a method for protecting against surge and electrostatic discharge events. A semiconductor substrate of a first conductivity type has gate and anode regions of a second conductivity type formed therein. A PN junction diode is formed from a portion of the gate region and the semiconductor substrate. A cathode is formed adjacent to another portion of the gate region. A thyristor is formed from the cathode, the gate region, the substrate, and the anode region. Zener diodes are formed from other portions of the gate region and the semiconductor substrate. A second Zener diode has a breakdown voltage that is greater than a breakdown voltage of a first Zener diode and that is greater than a breakover voltage of the thyristor. The first Zener diode protects against a surge event and the second Zener diode protects against an electrostatic discharge event.
    Type: Grant
    Filed: May 1, 2008
    Date of Patent: December 25, 2012
    Assignee: Semiconductor Components Industries, LLC
    Inventors: Mingjiao Liu, Ali Salih, Emmanuel Saucedo-Flores, Suem Ping Loo
  • Publication number: 20120322248
    Abstract: An ion implantation method in which an ion beam is scanned in a beam scanning direction and a wafer is mechanically scanned in a direction perpendicular to the beam scanning direction, includes setting a wafer rotation angle with respect to the ion beam so as to be varied, wherein a set angle of the wafer rotation angle is changed in a stepwise manner so as to implant ions into the wafer at each set angle, and wherein a wafer scanning region length is set to be varied, and, at the same time, a beam scanning speed of the ion beam is changed, in ion implantation at each set angle in a plurality of ion implantation operations during one rotation of the wafer, such that the ions are implanted into the wafer and dose amount non-uniformity in a wafer surface in other semiconductor manufacturing processes is corrected.
    Type: Application
    Filed: June 13, 2012
    Publication date: December 20, 2012
    Applicant: SEN CORPORATION
    Inventors: Shiro NINOMIYA, Tetsuya KUDO
  • Publication number: 20120322247
    Abstract: A method for fabricating a high voltage transistor includes the following steps. Firstly, a substrate is provided. A first sacrificial oxide layer and a hard mask layer are sequentially formed over the substrate. The hard mask layer is removed, thereby exposing the first sacrificial oxide layer. Then, a second sacrificial oxide layer is formed on the first sacrificial oxide layer. Afterwards, an ion-implanting process is performed to introduce a dopant into the substrate through the second sacrificial oxide layer and the first sacrificial oxide layer, thereby producing a high voltage first-type field region of the high voltage transistor.
    Type: Application
    Filed: June 15, 2011
    Publication date: December 20, 2012
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Chih-Kuang CHANG, Hsin-Hsueh HSIEH
  • Patent number: 8328936
    Abstract: A process of producing a diamond thin-film includes implanting dopant into a diamond by an ion implantation technique, forming a protective layer on at least part of the surface of the ion-implanted diamond, and firing the protected ion-implanted diamond at a firing pressure of no less than 3.5 GPa and a firing temperature of no less than 600° C. A process of producing a diamond semiconductor includes implanting dopant into each of two diamonds by an ion implantation technique and superimposing the two ion-implanted diamonds on each other such that at least part of the surfaces of each of the ion-implanted diamonds makes contact with each other, and firing the ion implanted diamonds at a firing pressure of no less than 3.5 GPa and a firing temperature of no less than 600° C.
    Type: Grant
    Filed: October 18, 2011
    Date of Patent: December 11, 2012
    Assignee: Nippon Telegraph and Telephone Corporation
    Inventors: Makoto Kasu, Toshiki Makimoto, Kenji Ueda, Yoshiharu Yamauchi
  • Patent number: 8324062
    Abstract: A method of manufacturing a power semiconductor device is provided. A first oxide layer is produced on a first main side of a substrate of a first conductivity type. A structured gate electrode layer with at least one opening is then formed on the first main side on top of the first oxide layer. A first dopant of the first conductivity type is implanted into the substrate on the first main side using the structured gate electrode layer as a mask, and the first dopant is diffused into the substrate. A second dopant of a second conductivity type is then implanted into the substrate on the first main side, and the second dopant is diffused into the substrate. After diffusing the first dopant into the substrate and before implanting the second dopant into the substrate, the first oxide layer is partially removed. The structured gate electrode layer can be used as a mask for implanting the second dopant.
    Type: Grant
    Filed: December 11, 2009
    Date of Patent: December 4, 2012
    Assignee: ABB Technology AG
    Inventors: Arnost Kopta, Munaf Rahimo
  • Patent number: 8324664
    Abstract: A method for forming a fuse of a semiconductor device includes performing an ion-implanting process at sides of a fuse blowing region of a metal fuse, thereby increasing the concentration of impurity ions of a thermal transmission path region. In a subsequent laser blowing process, as a result of the increased resistance of metal fuse the electric and thermal conductivity is reduced, thereby increasing the thermal condensation efficiency of the fuse blowing region and improving the efficiency of the laser blowing process.
    Type: Grant
    Filed: August 8, 2011
    Date of Patent: December 4, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Min Gu Ko
  • Patent number: 8324088
    Abstract: Methods of implanting dopants into a silicon substrate using a predeposited sacrificial material layer with a defined thickness that is removed by sputtering effect is provided.
    Type: Grant
    Filed: May 2, 2011
    Date of Patent: December 4, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Shu Qin, Li Li
  • Patent number: 8324060
    Abstract: A method is provided for fabricating a NAND flash memory array having vertical channels and sidewall gate structure and a fabricating method of the same. The NAND flash memory array has insulator strip structure and one or more semiconductor strips are next to the both sides of the insulator strip. The NAND flash memory array allows for an improvement of the integrity by decreasing the memory cell area by half and less, and solves the problems of the conventional three-dimensional structure regarding isolation between not only channels but also source/drain regions at the bottom of trenches. The method for fabricating the NAND flash memory array having a pillar structure uses the conventional CMOS process and an etching process with minimum masks, enables to cut down costs.
    Type: Grant
    Filed: August 31, 2011
    Date of Patent: December 4, 2012
    Assignee: Seoul National University Industry Foundation
    Inventors: Byung Gook Park, Seong Jae Cho
  • Patent number: 8323860
    Abstract: A solid-state imaging device producing method includes the steps of: applying a resist material onto a substrate in which a channel region is formed; forming a resist layer by exposure and development of the resist material using a mask, the resist layer having an opening and a thin-film portion, the mask having a first region through which light is transmitted and a second region through which a smaller quantity of light than that the light transmitted through the first region is transmitted; subjecting the substrate to ion implantation using the resist layer as a mask to form an impurity region; etching the substrate using the resist layer as a mask after the ion implantation to form an alignment mark; and forming an electrode on the impurity region and part of the channel region using the alignment mark as a reference.
    Type: Grant
    Filed: June 8, 2010
    Date of Patent: December 4, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Shu Sasaki