Insulating Coating Patents (Class 257/632)
  • Patent number: 7863609
    Abstract: A compound semiconductor substrate 10 according to the present invention is comprised of a Group III nitride and has a surface layer 12 containing a chloride of not less than 200×1010 atoms/cm2 and not more than 12000×1010 atoms/cm2 in terms of Cl and an oxide of not less than 3.0 at % and not more than 15.0 at % in terms of O, at a surface. The inventors conducted elaborate research and newly discovered that when the surface layer 12 at the surface of the compound semiconductor substrate 10 contained the chloride of not less than 200×1010 atoms/cm2 and not more than 12000×1010 atoms/cm2 in terms of Cl and the oxide of not less than 3.0 at % and not more than 15.0 at % in terms of O, Si was reduced at an interface between the compound semiconductor substrate 10 and an epitaxial layer 14 formed thereon and, as a result, the electric resistance at the interface was reduced.
    Type: Grant
    Filed: April 2, 2010
    Date of Patent: January 4, 2011
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Keiji Ishibashi, Fumitake Nakanishi
  • Patent number: 7859100
    Abstract: Provided are a thermal barrier coating material and a member coated with thermal barrier that can suppress the separation when used at a high temperature, and have a high thermal barrier effect; a method for manufacturing the member coated with thermal barrier; a turbine member coated with the thermal barrier coating material; and a gas turbine. More specifically provided are a shield coating member comprising a heat-resistant substrate, a bond coat layer formed on the heat-resistant substrate, and a ceramic layer formed on the bond coat layer, wherein the ceramic layer comprises a ceramic represented by a general formula A2Zr2O7, wherein A denotes a rare earth element, and the ceramic layer has (a) a porosity of 1 to 30%, (b) cracks in a thickness direction in pitches of 5 to 100% the total thickness of layers other than the bond coat layer on the heat-resistant substrate, or (c) columnar crystals.
    Type: Grant
    Filed: December 13, 2005
    Date of Patent: December 28, 2010
    Assignees: Mitsubishi Heavy Industries, Ltd., Tsinghua University
    Inventors: Taiji Torigoe, Ikuo Okada, Katsumi Namba, Kazutaka Mori, Wei Pan, Qiang Xu
  • Patent number: 7855416
    Abstract: Channel doping is an effective method for controlling Vth, but if Vth shifts to the order of ?4 to ?3 V when forming circuits such as a CMOS circuit formed from both an n-channel TFT and a P-channel TFT on the same substrate, then it is difficult to control the Vth of both TFTs with one channel dope. In order to solve the above problem, the present invention forms a blocking layer on the back channel side, which is a laminate of a silicon oxynitride film (A) manufactured from SiH4, NH3, and N2O, and a silicon oxynitride film (B) manufactured from SiH4 and N2O. By making this silicon oxynitride film laminate structure, contamination by alkaline metallic elements from the substrate can be prevented, and influence by stresses, caused by internal stress, imparted to the TFT can be relieved.
    Type: Grant
    Filed: November 24, 2008
    Date of Patent: December 21, 2010
    Assignee: Semiconductor Energy laboratory Co., Ltd.
    Inventors: Hidehito Kitakado, Masahiko Hayakawa, Shunpei Yamazaki, Taketomi Asami
  • Publication number: 20100314725
    Abstract: A semiconductor component (such as a semiconductor wafer or semiconductor die) includes a substrate having a front side and a back side. The semiconductor die/wafer also includes a stress balance layer on the back side of the substrate. An active layer deposited on the front side of the substrate creates an unbalanced stress in the semiconductor wafer/die. The stress balance layer balances stress in the semiconductor wafer/die. The stress in the stress balance layer approximately equals the stress in the active layer. Balancing stress in the semiconductor component prevents warpage of the semiconductor wafer/die.
    Type: Application
    Filed: June 12, 2009
    Publication date: December 16, 2010
    Applicant: QUALCOMM INCORPORATED
    Inventors: Shiqun Gu, Arvind Chandrasekaran, Urmi Ray, Yiming Li
  • Publication number: 20100314724
    Abstract: Organic anti-stiction coatings such as, for example, hydrocarbon and fluorocarbon based self-assembled organosilanes and siloxanes applied either in solvent or via chemical vapor deposition, are selectively etched using a UV-Ozone (UVO) dry etching technique in which the portions of the organic anti-stiction coating to be etched are exposed simultaneously to multiple wavelengths of ultraviolet light that excite and dissociate organic molecules from the anti-stiction coating and generate atomic oxygen from molecular oxygen and ozone so that the organic molecules react with atomic oxygen to form volatile products that are dissipated, resulting in removal of the exposed portions of the anti-stiction coating. A hybrid etching process using heat followed by UVO exposure may be used. A shadow mask (e.g., of glass or quartz), a protective material layer, or other mechanism may be used to selective expose the portions of the anti-stiction coating to be UVO etched.
    Type: Application
    Filed: June 8, 2010
    Publication date: December 16, 2010
    Applicant: ANALOG DEVICES, INC.
    Inventor: Mehmet Hancer
  • Patent number: 7851266
    Abstract: A microelectronic device wafer includes an adhesive molded in-situ on the wafer. Adhesives and wafers are positioned in molds and a method that includes drawing in the molds at least a partial vacuum and partially curing the adhesive provides an in-situ molded adhesive that is positioned on the wafer. The adhesives can be in liquid, solid, or other forms prior to molding. During molding, the adhesive can be partially cured by heating or irradiating.
    Type: Grant
    Filed: November 26, 2008
    Date of Patent: December 14, 2010
    Assignee: Micron Technologies, Inc.
    Inventors: Tongbi Jiang, Shijian Luo
  • Publication number: 20100308383
    Abstract: A semiconductor device having a porous insulation layer with a permeation prevention layer coating the pores for use in protecting against hydrogen permeation into source and drain areas is presented. The semiconductor device includes a conductive pattern, an insulation layer, and a permeation prevention layer. The conductive pattern is formed on a semiconductor substrate. The insulation layer is formed on a surface of the conductive pattern and includes a porous layer having a plurality of pores. The permeation prevention layer is formed on exposed surfaces of the pores in the porous layer.
    Type: Application
    Filed: June 29, 2009
    Publication date: December 9, 2010
    Inventor: Min Jung SHIN
  • Publication number: 20100301462
    Abstract: A semiconductor device and a method of forming it are disclosed in which at least two adjacent conductors have an air-gap insulator between them which is covered by nanoparticles of insulating material being a size which prevent the nanoparticles from substantially entering into the air-gap.
    Type: Application
    Filed: May 28, 2009
    Publication date: December 2, 2010
    Inventors: Nishant Sinha, Gurtej Sandhu, Neil Greeley, John Smythe
  • Publication number: 20100301344
    Abstract: A dielectric layer for an electronic device, such as a thin-film transistor, is provided. The dielectric layer comprises a molecular glass. The resulting dielectric layer is very thin, pure, and stable. Processes and compositions for fabricating such a dielectric layer are also disclosed.
    Type: Application
    Filed: May 29, 2009
    Publication date: December 2, 2010
    Applicant: XEROX CORPORATION
    Inventors: Yiliang Wu, Ping Liu, Nan-Xing Hu
  • Publication number: 20100301461
    Abstract: Embodiments relate to a method for forming reliable interconnects by the use of a device layer that can serve as a barrier or an etch stop layer, among other applications. The device layer is UV resistant in that its dielectric constant and stress remain stable or relatively stable when subjected to UV curing.
    Type: Application
    Filed: May 27, 2009
    Publication date: December 2, 2010
    Applicant: CHARTERED SEMICONDUCTOR MANUFACTURING, LTD.
    Inventors: Huang Liu, Jack Cheng, Wei Lu, Yihua Wang, Meisheng Zhou
  • Patent number: 7843041
    Abstract: A thin-film circuit device includes a substrate and a thin-film circuit layer, disposed on the substrate, having an element region and a low-strength region. The element region includes thin-film elements. The low-strength region extends between an end portion of the thin-film circuit layer and the element region and has a mechanical strength less than that of the surroundings of the low-strength region.
    Type: Grant
    Filed: January 23, 2007
    Date of Patent: November 30, 2010
    Assignee: Seiko Epson Corporation
    Inventors: Taimei Kodaira, Sumio Utsunomiya
  • Patent number: 7842385
    Abstract: A coated nano particle and an electronic device using the composite nano particle as an illuminator are provided. The composite nano particle includes a nano particle receiving light and emitting light; and a coating material formed on a surface of the nano particle and having an index of refraction different from that of the nano particle. The coated nano particle is made by coating a surface of the nano particle with a material having an index of refraction, which has an intermediate value between an index of refraction of a matrix and an index of refraction of the nano particle as an illuminator, with a predetermined thickness. The light emitted from the nano particle is efficiently transferred to the outside as the light reflected from the matrix and absorbed by the nano particle is suppressed. Therefore, a luminous efficiency of the illuminator is improved, and an electronic device using the illuminator is provided.
    Type: Grant
    Filed: May 16, 2006
    Date of Patent: November 30, 2010
    Assignee: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Eun-joo Jang, Shin-ae Jun
  • Publication number: 20100289125
    Abstract: In sophisticated semiconductor devices, the electromigration performance of copper metal lines at the top interface thereof may be enhanced by forming a copper alloy that is locally restricted to the interface. To this end, an appropriate alloy-forming species, such as aluminum, may be provided on the basis of a non-masked deposition process and may be subsequently removed by a non-masked etch process, wherein the characteristic of the resulting alloy may be adjusted during an intermediate heat treatment.
    Type: Application
    Filed: April 28, 2010
    Publication date: November 18, 2010
    Inventors: Frank Feustel, Tobias Letz, Axel Preusse
  • Patent number: 7834399
    Abstract: A stress-transmitting dielectric layer is formed on the at least one PFET and the at least one NFET. A tensile stress generating film, such as a silicon nitride, is formed on the at least one NFET by blanket deposition and patterning. A compressive stress generating film, which may be a refractive metal nitride film, is formed on the at least one PFET by a blanket deposition and patterning. An encapsulating dielectric film is deposited over the compress stress generating film. The stress is transferred from both the tensile stress generating film and the compressive stress generating film into the underlying semiconductor structures. The magnitude of the transferred compressive stress from the refractory metal nitride film may be from about 5 GPa to about 20 GPa. The stress is memorized during an anneal and remains in the semiconductor devices after the stress generating films are removed.
    Type: Grant
    Filed: June 5, 2007
    Date of Patent: November 16, 2010
    Assignee: International Business Machines Corporation
    Inventors: Thomas S. Kanarsky, Qiqing Ouyang, Haizhou Yin
  • Publication number: 20100283133
    Abstract: In the invention, a silica sol prepared by hydrolyzing and condensing a silane compound represented by the following formula: Si(OR1)4 or R2nSi(OR3)4-n wherein R1s, R2(s) and R3(s) may be the same or different when a plurality of them are contained in the molecule and each independently represents a linear or branched C1-4 alkyl group in the presence of a hydrophilic basic catalyst and a hydrophobic basic catalyst is used for a conventional porous-film forming composition.
    Type: Application
    Filed: July 23, 2010
    Publication date: November 11, 2010
    Inventors: Yoshitaka Hamada, Fujio Yagihashi, Takeshi Asano, Hideo Nakagawa, Masaru Sasago
  • Publication number: 20100283132
    Abstract: The invention relates to microelectronics, more particularly, to methods of manufacturing solid-state devices and integrated circuits utilizing microwave plasma enhancement under conditions of electron cyclotron resonance (ECR), as well as to use of plasma treatment technology in manufacturing of different semiconductor structures. Also proposed are semiconductor device and integrated circuit and methods for their manufacturing. Technical result consists in improvement of reproducibility parameters of semiconductor structures and devices processed, enhancement of devices parameters, elimination of possibility of defects formation in different regions, and speeding-up of the treatment process.
    Type: Application
    Filed: November 9, 2009
    Publication date: November 11, 2010
    Applicant: OBSCHESTVO S OGRANICHENNOI OTVETSTVENNOSTJU EPILAB
    Inventors: Sergei Jurievich Shapoval, Vyacheslav Aleksandrovich Tulin, Valery Evgenievich Zemlyakov, Jury Stepanovich Chetverov, Vladimir Leonidovich Gurtovoi
  • Patent number: 7829473
    Abstract: A first conductive layer is formed, a composition layer over the first conductive layer is formed by discharging a composition in which nanoparticles comprising a conductive material covered with an organic material are dispersed in a solvent, and the composition layer is dried. Subsequently, pretreatment is performed in which the organic material covering the nanoparticles, which are positioned on a surface of the composition layer, is decomposed, and then baking is performed. In this manner, a second conductive layer is formed by sintering nanoparticles which are positioned on a surface of the composition layer. A memory layer is formed between the first conductive layer and the second conductive layer using the nanoparticles covered with the organic materials to which the pretreatment is not performed.
    Type: Grant
    Filed: March 18, 2008
    Date of Patent: November 9, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Kensuke Yoshizumi
  • Publication number: 20100276747
    Abstract: Provided is a charge trapping layer which has excellent memory characteristics, a method of forming the charge trapping layer, a nonvolatile memory device using the charge trapping layer, and a method of fabricating the nonvolatile memory device, in which a hybrid nanoparticle which is obtained by mixing a nanoparticle having an excellent programming characteristic with a nanoparticle having an excellent erasing characteristic is used as the charge trapping layer. The charge trapping layer for use in the nanoparticle is discontinuously formed between a tunneling oxide film and a control oxide film, and includes at least two different kinds of numerous nanoparticles.
    Type: Application
    Filed: October 30, 2009
    Publication date: November 4, 2010
    Inventors: Jang-Sik Lee, Byeong Hyeok Sohn, Yong Mu Kim, Jeong Hwa Kwon, Hyunjung Shin, Jaegab Lee
  • Publication number: 20100270626
    Abstract: There is provided an improved method for depositing thin films using precursors to deposit binary oxides by atomic layer deposition (ALD) techniques. Also disclosed is an ALD method for depositing a high-k dielectric such as hafnium lanthanum oxide (HfLaO) on a substrate. Embodiments of the present invention utilize a combination of ALD precursor elements and cycles to deposit a film with desired physical and electrical characteristics. Electronic components and systems that integrate devices fabricated with methods consistent with the present invention are also disclosed.
    Type: Application
    Filed: April 27, 2009
    Publication date: October 28, 2010
    Inventor: Petri I. Raisanen
  • Patent number: 7808083
    Abstract: Disclosed is a semiconductor device having a wafer level package structure which is characterized by containing a resin layer composed of a resin composition which is curable at 250° C. or less. Such a semiconductor device having a wafer level package structure is excellent in low stress properties, solvent resistance, low water absorbency, electrical insulation properties, adhesiveness and the like.
    Type: Grant
    Filed: September 29, 2005
    Date of Patent: October 5, 2010
    Assignee: Sumitomo Bakelite Company, Ltd.
    Inventors: Junya Kusunoki, Takashi Hirano
  • Publication number: 20100244205
    Abstract: Glass frits, conductive inks and articles having conductive inks applied thereto are described. According to one or more embodiments, glass frits with no intentionally added lead comprise TeO2 and one or more of Bi2O3, SiO2 and combinations thereof. One embodiment of the glass frit includes B2O3, and can further include ZnO, Al2O3 and/or combinations thereof. One embodiment provides for conductive inks which include a glass frit with no intentionally added lead and comprising TeO2 and one or more of Bi2O3, SiO2 and combinations thereof. Another embodiment includes articles with substrates such as semiconductors or glass sheets, having conductive inks disposed thereto, wherein the conductive ink includes glass frits having no intentionally added lead.
    Type: Application
    Filed: April 13, 2010
    Publication date: September 30, 2010
    Applicant: BASF SE
    Inventor: Robert Prunchak
  • Publication number: 20100244204
    Abstract: Provided is a technology capable of obtaining a fluorine-containing carbon film having a good leakage property, coefficient of thermal expansion and mechanical strength. The fluorine-containing carbon film is formed by using active species obtained by activating a C5F8 gas and a hydrogen gas. Fluorine in the fluorine-containing carbon film comes off together with H so that the amount of F decreases, thereby accelerating the polymerization. As a result, a C-dangling bond in the fluorine-containing carbon is decreased and a leakage current is reduced. Further, as the polymerization accelerates, the film gets stronger, so that the fluorine-containing carbon film having a high mechanical strength such as a high elasticity or a high hardness can be obtained.
    Type: Application
    Filed: May 11, 2007
    Publication date: September 30, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Takaaki Matsuoka, Masahiro Horigome
  • Publication number: 20100244192
    Abstract: The present invention provides a dielectric film having a high permittivity and a high heat resistance. An embodiment of the present invention is a dielectric film (103) including a composite oxynitride containing an element A made of Hf, an element B made of Al or Si, and N and O, wherein mole fractions of the element A, the element B, and N expressed as B/(A+B+N) range from 0.015 to 0.095 and N/(A+B+N) equals or exceeds 0.045, and has a crystalline structure.
    Type: Application
    Filed: April 14, 2010
    Publication date: September 30, 2010
    Applicant: CANON ANELVA CORPORATION
    Inventors: Takashi Nakagawa, Naomu Kitano, Toru Tatsumi
  • Patent number: 7803718
    Abstract: A BiCMOS device with enhanced performance by mechanical uniaxial strain is provided. A first embodiment of the present invention includes an NMOS transistor, a PMOS transistor, and a bipolar transistor formed on different areas of the substrate. A first contact etch stop layer with tensile stress is formed over the NMOS transistor, and a second contact etch stop layer with compressive stress is formed over the PMOS transistor and the bipolar transistor, allowing for an enhancement of each device. Another embodiment has, in addition to the stressed contact etch stop layers, strained channel regions in the PMOS transistor and the NMOS transistor, and a strained base in the BJT.
    Type: Grant
    Filed: October 29, 2008
    Date of Patent: September 28, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Hsin Ko, Tzu-Juei Wang, Hung-Wei Chen, Chung-Hu Ke, Wen-Chin Lee
  • Publication number: 20100237476
    Abstract: While a fine porous diamond particle film has been known as a high heat resistant and low dielectric constant film and also has high mechanical strength and heat conductivity, and is expected as an insulating film for multi-layered wirings in semiconductor integrated circuit devices, it is insufficient in current-voltage characteristic and has not yet been put into practical use. According to the invention, by treating the fine porous diamond particle film with an aqueous solution of a salt of a metal such as barium and calcium, the carbonate or sulfate of which is insoluble or less soluble, and a hydrophobic agent such as hexamethyl disilazane or trimethyl monochlolo silane, as well as a reinforcing agent containing one of dichlorotetramethyl disiloxane or dimethoxytetramethyl disiloxane, thereby capable of putting the dielectric breakdown voltage and the leak current within a specified range of a practical standard.
    Type: Application
    Filed: May 26, 2010
    Publication date: September 23, 2010
    Applicant: RORZE CORPORATION
    Inventors: Toshio Sakurai, Takayuki Takahagi, Hiroyuki Sakaue, Shoso Shingubara, Hiroyuki Tomimoto
  • Patent number: 7799658
    Abstract: An SOI substrate having a single crystal semiconductor layer with high surface planarity is manufactured. A semiconductor substrate is doped with hydrogen, whereby a damaged region which contains large quantity of hydrogen is formed. After a single crystal semiconductor substrate and a supporting substrate are bonded together, the semiconductor substrate is heated, whereby the single crystal semiconductor substrate is separated in the damaged region. While a heated high-purity nitrogen gas is sprayed on a separation plane of the single crystal semiconductor layer separated from the single crystal semiconductor substrate, laser beam irradiation is performed. By irradiation with a laser beam, the single crystal semiconductor layer is melted, whereby planarity of the surface of the single crystal semiconductor layer is improved and re-single-crystallization is performed.
    Type: Grant
    Filed: October 7, 2008
    Date of Patent: September 21, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Publication number: 20100230677
    Abstract: A thin film transistor in which deterioration at initial operation is not likely to be caused and a manufacturing method thereof. A transistor which includes a gate insulating layer at least whose uppermost surface is a silicon nitride layer, a semiconductor layer over the gate insulating layer, and a buffer layer over the semiconductor layer and in which the concentration of nitrogen in the vicinity of an interface between the semiconductor layer and the gate insulating layer, which is in the semiconductor layer is lower than that of the buffer layer and other parts of the semiconductor layer. Such a thin film transistor can be manufactured by exposing the gate insulating layer to an air atmosphere and performing plasma treatment on the gate insulating layer before the semiconductor layer is formed.
    Type: Application
    Filed: March 2, 2010
    Publication date: September 16, 2010
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Hidekazu MIYAIRI, Erika KATO, Kunihiko SUZUKI
  • Publication number: 20100219512
    Abstract: A method for forming porous insulating film using cyclic siloxane raw material monomer is provided, which method suppresses detachment of hydrocarbon and is able to form a low-density film. In a method where at least cyclic organosiloxane raw material 101 is supplied to a reaction chamber and an insulating film is formed by plasma vapor deposition method, above-mentioned problem is solved by a method for a forming porous insulating film using the mixed gas of a cyclic organosiloxane raw material 101 and a compound raw material 103 including a part of chemical structure comprising the cyclic organosiloxane raw material 101. The compound raw material 103 is preferably a compound including a part of side chain of the cyclic organosiloxane raw material 101.
    Type: Application
    Filed: September 8, 2006
    Publication date: September 2, 2010
    Applicant: NEC COPORATION
    Inventors: Munehiro Tada, Naoya Furutake, Tsuneo Takeuchi, Yoshihiro Hayashi
  • Patent number: 7786022
    Abstract: In the invention, a silica sol prepared by hydrolyzing and condensing a silane compound represented by the following formula: Si(OR1)4 or R2nSi(OR3)4-n wherein R1s, R2(s) and R3(s) may be the same or different when a plurality of them are contained in the molecule and each independently represents a linear or branched C1-4 alkyl group in the presence of a hydrophilic basic catalyst and a hydrophobic basic catalyst is used for a conventional porous-film forming composition.
    Type: Grant
    Filed: February 12, 2008
    Date of Patent: August 31, 2010
    Assignees: Shin-Etsu Chemical Co., Ltd., Matsushita Electric Industrial Co., Ltd.
    Inventors: Yoshitaka Hamada, Fujio Yagihashi, Takeshi Asano, Hideo Nakagawa, Masaru Sasago
  • Publication number: 20100213574
    Abstract: A transition metal oxide dielectric material is doped with a non-metal in order to enhance the electrical properties of the metal oxide. In a preferred embodiment, a transition metal oxide is deposited over a bottom electrode and implanted with a dopant. In a preferred embodiment, the metal oxide is hafnium oxide or zirconium oxide and the dopant is nitrogen. The dopant can convert the crystal structure of the hafnium oxide or zirconium oxide to a tetragonal structure and increase the dielectric constant of the metal oxide.
    Type: Application
    Filed: May 7, 2010
    Publication date: August 26, 2010
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Jiutao Li, Shuang Meng
  • Publication number: 20100213581
    Abstract: An embodiment of the present invention is a technique to provide a dielectric film material with controllable coefficient of thermal expansion (CTE). A first compound containing a first liquid crystalline component is formed. The first compound is cast into a first film. The first film is oriented in an magnetic or electromagnetic field in a first direction. The first film is cured at a first temperature.
    Type: Application
    Filed: May 5, 2010
    Publication date: August 26, 2010
    Applicant: INTEL CORPORATION
    Inventor: James C. Matayabas, JR.
  • Patent number: 7781881
    Abstract: Provided are a thermal barrier coating material and a member coated with thermal barrier that can suppress the separation when used at a high temperature, and have a high thermal barrier effect; a method for manufacturing the member coated with thermal barrier; a turbine member coated with the thermal barrier coating material; and a gas turbine. More specifically provided are a shield coating member comprising a heat-resistant substrate, a bond coat layer formed on the heat-resistant substrate, and a ceramic layer formed on the bond coat layer, wherein the ceramic layer comprises a ceramic represented by a general formula A2Zr2O7, wherein A denotes a rare earth element, and the ceramic layer has (a) a porosity of 1 to 30%, (b) cracks in a thickness direction in pitches of 5 to 100% the total thickness of layers other than the bond coat layer on the heat-resistant substrate, or (c) columnar crystals.
    Type: Grant
    Filed: December 13, 2005
    Date of Patent: August 24, 2010
    Assignees: Mitsubishi Heavy Industries, Ltd., Tsinghua University
    Inventors: Taiji Torigoe, Ikuo Okada, Katsumi Namba, Kazutaka Mori, Wei Pan, Qiang Xu
  • Publication number: 20100200964
    Abstract: A porous dielectric element is produced by forming a first dielectric and a second dielectric. The second dielectric is dispersed in the first dielectric. The second dielectric is then removed from the second dielectric by using a chemical dissolution. The removal of the second dielectric from the first dielectric leaves pores in the first dielectric. The pores, which are filled with air, improve the overall dielectric constant of the resulting dielectric element.
    Type: Application
    Filed: April 22, 2010
    Publication date: August 12, 2010
    Applicant: STMicroelectronics S.A.
    Inventors: Simon Jeannot, Laurent Favennec
  • Publication number: 20100201854
    Abstract: A solid-state image pick-up device is provided which includes a semiconductor substrate main body which has an element forming layer and a gettering layer provided on an upper layer thereof; photoelectric conversion elements, each of which includes a first conductive type region, provided in the element forming layer; and a dielectric film which is provided on an upper layer of the gettering layer and which induces a second conductive type region in a surface of the gettering layer.
    Type: Application
    Filed: January 28, 2010
    Publication date: August 12, 2010
    Applicant: SONY CORPORATION
    Inventor: Shin Iwabuchi
  • Patent number: 7772678
    Abstract: After the surface of the substrate is cleaned, an interface layer or an antidiffusion film is formed. A metal oxide film is built upon the antidiffusion film Annealing is done in an NH3 atmosphere so as to diffuse nitrogen in the metal oxide film. Building of the metal oxide film and diffusion of nitrogen are repeated several times, whereupon annealing is done in an O2 atmosphere. By annealing the film in an O2 atmosphere at a temperature higher than 650° C., the leak current in the metal oxide film is controlled.
    Type: Grant
    Filed: December 12, 2008
    Date of Patent: August 10, 2010
    Assignees: Rohm Co., Ltd., Horiba, Ltd., Renesas Technology Corp.
    Inventors: Kunihiko Iwamoto, Koji Tominaga, Toshihide Nabatame, Tomoaki Nishimura
  • Patent number: 7772344
    Abstract: It is an object of the present invention to provide a composition capable of forming an insulating film which is endowed with a low dielectric constant, heat resistance, chemical resistance and a high mechanical strength that enables the insulating film to withstand CMP, and which, when an inorganic insulating film layer is provided thereon as an overlying layer, has a high adherence thereto. The composition for forming an insulating film contains polyphenylene, wherein the polyphenylene in an insulating film formed from the composition has a number of carbon atoms (C) and a number of oxygen atoms (O) which together satisfy a condition O/(C+O)?0.050. With the composition, the above object is attained.
    Type: Grant
    Filed: September 22, 2008
    Date of Patent: August 10, 2010
    Assignee: FUJIFILM Corporation
    Inventor: Haruki Inabe
  • Patent number: 7767589
    Abstract: According to one embodiment of the disclosure, a method for passivating a circuit device generally includes providing a substrate having a substrate surface, forming an electrical component on the substrate surface, and coating the substrate surface and the electrical component with a first protective dielectric layer. The first protective dielectric layer is made of a generally moisture insoluble material having a moisture permeability less than 0.01 gram/meter2/day, a moisture absorption less than 0.04 percent, a dielectric constant less than 10, a dielectric loss less than 0.005, a breakdown voltage strength greater than 8 million volts/centimeter, a sheet resistivity greater than 1015 ohm-centimeter, and a defect density less than 0.5/centimeter2.
    Type: Grant
    Filed: August 31, 2007
    Date of Patent: August 3, 2010
    Assignee: Raytheon Company
    Inventors: John M. Bedinger, Michael A. Moore, Robert B. Hallock, Kamal Tabatabaie Alavi, Thomas E. Kazior
  • Publication number: 20100181654
    Abstract: An object to provide an insulating film for a semiconductor device, which has characteristics of low permittivity, a low leak current, and high mechanical strength, undergoes small time-dependent change of these characteristics, and has excellent water resistance, and to provide a manufacturing apparatus of the same, and a manufacturing method of the semiconductor device using the insulating film.
    Type: Application
    Filed: June 13, 2009
    Publication date: July 22, 2010
    Applicant: MITSUBISHI HEAVY INDUSTRIES, LTD.
    Inventors: Toshihito Fujiwara, Toshihiko Nishimori, Toshiya Watanabe, Naoki Yasuda, Hideharu Nobutoki, Teruhiko Kumada, Chiho Mizushima, Takuya Kamiyama, Tetsuya Yamamoto
  • Publication number: 20100176442
    Abstract: A dielectric containing a titanium silicon oxide film disposed in an integrated circuit and a method of fabricating such a dielectric provide a dielectric for use in a variety of electronic devices. Embodiments include a dielectric containing a titanium silicon oxide film arranged as one or more monolayers. Embodiments include structures for capacitors, transistors, memory devices, and electronic systems with dielectrics containing a titanium silicon oxide film, and methods for forming such structures.
    Type: Application
    Filed: March 24, 2010
    Publication date: July 15, 2010
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 7755082
    Abstract: A nano-electrode or nano-wire may be etched centrally to form a gap between nano-electrode portions. The portions may ultimately constitute a single electron transistor. The source and drain formed from the electrode portions are self-aligned with one another. Using spacer technology, the gap between the electrodes may be made very small.
    Type: Grant
    Filed: November 8, 2007
    Date of Patent: July 13, 2010
    Assignee: Intel Corporation
    Inventors: Valery M. Dubin, Swaminathan Sivakumar, Andrew A. Berlin, Mark Bohr
  • Publication number: 20100171198
    Abstract: A method for manufacturing a semiconductor device includes steps of:(a) forming a thin film containing a phenyl group and silicon on a substrate while obtaining a plasma by activating an organic silane gas containing a phenyl group and silicon and nitrogen as not original component but unavoidable impurity and exposing the substrate to the plasma, temperature of the substrate being set at 200° C. or lower; and (b) obtaining a low-permittivity film by supplying energy to the substrate to allow moisture to be released from the thin film. With this method for manufacturing the semiconductor device, it is possible to obtain a silicon-oxide based low-permittivity film containing an organic substance which is not significantly damaged by the release of the organic substance when subjected to a plasma treatment such as an etching treatment, an ashing treatment, and/or the like.
    Type: Application
    Filed: January 6, 2010
    Publication date: July 8, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yoshihiro KATO, Yusaku Kashiwagi, Takashi Matsumoto
  • Publication number: 20100164073
    Abstract: Electrical structures and devices may be formed and include an organic passivating layer that is chemically bonded to a silicon-containing semiconductor material to improve the electrical properties of electrical devices. In different embodiments, the organic passivating layer may remain within finished devices to reduce dangling bonds, improve carrier lifetimes, decrease surface recombination velocities, increase electronic efficiencies, or the like. In other embodiments, the organic passivating layer may be used as a protective sacrificial layer and reduce contact resistance or reduce resistance of doped regions. The organic passivation layer may be formed without the need for high-temperature processing.
    Type: Application
    Filed: July 20, 2009
    Publication date: July 1, 2010
    Applicant: THE CALIFORNIA INSTITUTE OF TECHNOLOGY
    Inventors: Nathan S. Lewis, William Royea
  • Publication number: 20100164072
    Abstract: A plasma CVD apparatus including a reaction chamber including an inlet for supplying a compound including a borazine skeleton, a feeding electrode, arranged within the reaction chamber, for supporting a substrate and being applied with a negative charge, and a plasma generating mechanism, arranged opposite to the feeding electrode via the substrate, for generating a plasma within the reaction chamber. A method forms a thin film wherein a thin film is formed by using a compound including a borazine skeleton as a raw material, and a semiconductor device includes a thin film formed by such a method as an insulating film. The apparatus and method enable to produce a thin film wherein low dielectric constant and high mechanical strength are stably maintained for a long time and insulating characteristics are secured.
    Type: Application
    Filed: March 23, 2007
    Publication date: July 1, 2010
    Applicant: Mitsubishi Electric Corporation
    Inventors: Teruhiko Kumada, Hideharu Nobutoki, Naoki Yasuda
  • Publication number: 20100155907
    Abstract: A semiconductor device includes an inorganic coating layer to at least partially cover a junction termination extension.
    Type: Application
    Filed: December 23, 2008
    Publication date: June 24, 2010
    Inventors: Erich H. Soendker, Thomas A. Hertel, Horacio Saldivar
  • Publication number: 20100148322
    Abstract: The invention specifically relates to methods of fabricating a composite substrate by providing a first insulating layer on a support substrate at a thickness of e1 and providing a second insulating layer on a source substrate at a thickness of e2, with each layer having an exposed face for bonding; providing plasma activation energy in an amount sufficient to activate a portion of the thickness of the face of the first insulating layer emp1 and a portion of the thickness of the face of the second insulating layer emp1; providing a final insulating layer by molecular bonding the activated face of the first insulating layer with the activated face of the second insulating layer; and removing a back portion of the source substrate while retaining an active layer comprising a remaining portion of the source substrate bonded to the support substrate with the final insulating layer interposed therein to form the composite substrate.
    Type: Application
    Filed: February 18, 2010
    Publication date: June 17, 2010
    Inventors: Frédéric Allibert, Sébastien Kerdiles
  • Patent number: 7737559
    Abstract: The invention includes methods of forming layers conformally over undulating surface topographies associated with semiconductor substrates. The undulating surface topographies can first be exposed to one or more of titanium oxide, neodymium oxide, yttrium oxide, zirconium oxide and vanadium oxide to treat the surfaces, and can be subsequently exposed to a material that forms a layer conformally along the treated surfaces. The material can, for example, comprise an aluminum-containing compound and one or both of silane and silazane. The invention also includes semiconductor constructions having conformal layers formed over liners containing one or more of titanium oxide, yttrium oxide, zirconium oxide and vanadium oxide.
    Type: Grant
    Filed: October 31, 2006
    Date of Patent: June 15, 2010
    Assignee: Micron Technology, Inc.
    Inventor: John Smythe
  • Patent number: 7737525
    Abstract: Methods of preparing a carbon doped oxide (CDO) layers having a low dielectric constant are provided. The methods involve, for instance, providing a substrate to a deposition chamber and exposing it to one or multiple carbon-doped oxide precursors having molecules with at least one carbon-carbon triple bond, or carbon-carbon double bond, or a combination of these groups and depositing the carbon doped oxide dielectric layer under conditions in which the resulting dielectric layer has a dielectric constant of not greater than about 2.7.
    Type: Grant
    Filed: November 7, 2007
    Date of Patent: June 15, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Qingguo Wu, Haiying Fu, Xingyuan Tang
  • Publication number: 20100140755
    Abstract: Fabrication of new forms of rare-earth oxides, rare-earth nitrides and rare-earth phosphides is disclosed. Further, ternary compounds composed of binary (rare-earth oxides, rare-earth nitrides and rare-earth phosphides) mixed with silicon and or germanium to form compound semiconductors. The presented growth techniques and material system can be applied to silicon electronics, opto-electronic, magneto-electronics and magneto-optics devices.
    Type: Application
    Filed: December 7, 2009
    Publication date: June 10, 2010
    Applicant: TRANSLUCENT, INC.
    Inventor: Petar Atanackovic
  • Publication number: 20100140754
    Abstract: Disclosed is a silicon-containing film-forming material which contains an organosilane compound represented by the following general formula (1). (In the formula, R1-R4 may be the same or different and represent a hydrogen atom, an alkyl group having 1-4 carbon atoms, a vinyl group or a phenyl group; R5 represents an alkyl group having 1-4 carbon atoms, an acetyl group or a phenyl group; n represents an integer of 1-3; and m represents an integer of 1-2.
    Type: Application
    Filed: August 14, 2007
    Publication date: June 10, 2010
    Applicant: JSR CORPORATION
    Inventors: Masahiro Akiyama, Hisashi Nakagawa, Terukazu Kokubo
  • Patent number: 7728389
    Abstract: A semiconductor device and a fabrication method for the semiconductor device which can remove the sacrifice layer deposited on the semiconductor device surface in a short time and whose manufacturing yield can be improved are provided. The semiconductor device and the fabrication method for the semiconductor device includes a field effect transistor 4 including a gate electrode 1, a drain electrode 2, and a source electrode 3 formed on a semiconductor substrate; and a hollow protective film 5 for covering the gate electrode 1, the drain electrode 2, and the source electrode 3, and being provided on the semiconductor substrate 4A.
    Type: Grant
    Filed: January 9, 2009
    Date of Patent: June 1, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takuji Yamamura